starting phase `separate-from-pid1' build process now running as PID 18 phase `separate-from-pid1' succeeded after 0.0 seconds starting phase `set-SOURCE-DATE-EPOCH' phase `set-SOURCE-DATE-EPOCH' succeeded after 0.0 seconds starting phase `set-paths' environment variable `PATH' set to `/gnu/store/d5c8i3b549r412lkhddggyfx9mqdphs7-cmake-minimal-3.31.10/bin:/gnu/store/wpsqid3f6m9mfykmxb9cs1pw7jbz9wyz-ninja-1.13.2/bin:/gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/bin:/gnu/store/sv59jcpqqdkp5k4z2pl52si59why46i8-icestorm-1.1/bin:/gnu/store/fjnqbp82xlk7grb1phi377ifvy2ag4lp-iverilog-12.0/bin:/gnu/store/s6licrd5y2pdjcfxc9pgzm5c25iijcqr-gzip-1.14/bin:/gnu/store/awv4vdazri35d4f02ka6bjxmf91xrhaw-prjtrellis-1.4-1.92345b7/bin:/gnu/store/5sp1931djvgr9zdcykwpridnzmrpw7rw-yosys-0.60/bin:/gnu/store/jhgqcadj85v1408yxlqzw2cm3f24rbgc-tar-1.35/bin:/gnu/store/c4xryzrjpr2py7nsa5ghhgfgcf7rb5p4-gzip-1.14/bin:/gnu/store/7ykml1rwb7dmgsic1nvcwf3f8sj4wg6g-bzip2-1.0.8/bin:/gnu/store/rnj26qklbw75sn0b74i802acshrijy66-file-5.46/bin:/gnu/store/2nhsmzn8hpwmzjvn9q9a060cv131pz20-diffutils-3.12/bin:/gnu/store/89hni87ymkbsfmcfpvm85vxr4hqpqja2-patch-2.7.6/bin:/gnu/store/s581y18fra78gmkx3jd3vp0waa0n06iw-findutils-4.10.0/bin:/gnu/store/5189xfjv3av3djx824k3vplzgam5yk1q-gawk-5.3.0/bin:/gnu/store/7zm002rvw67rkhr52369xywj78qq6bbv-zstd-1.5.6/bin:/gnu/store/f3qlj9772192qg7gyjl5pa09inx4j66n-sed-4.9/bin:/gnu/store/4gnbgkqva5jdjl57j4yj6p21qa9p3cf2-grep-3.11/bin:/gnu/store/74kzd0881xh5b1b3cdq4pva26pywlpph-xz-5.4.5/bin:/gnu/store/rhxjwb4fwf5955z585mvir1ghi78py2z-coreutils-9.1/bin:/gnu/store/mx9i9dnjx34lkx8k8r79876q2f5dclvr-make-4.4.1/bin:/gnu/store/5j353w5171sgv76a7wc8adblxkfcink9-bash-minimal-5.2.37/bin:/gnu/store/szv2gf612qpy0kw88ip2bxf2msw4nqks-ld-wrapper-0/bin:/gnu/store/x7x8y4c3ccn33sq7r9nb77y5d5mz3970-binutils-2.44/bin:/gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/bin:/gnu/store/50jqiigxwa1s9xljqrl1wh85yncqnybr-glibc-2.41/bin:/gnu/store/50jqiigxwa1s9xljqrl1wh85yncqnybr-glibc-2.41/sbin:/gnu/store/88j8pc3kl8mpv027b8minkj5p5njqmpi-apycula-0.28/bin:/gnu/store/3702dz9kiacbldynjm02a61ni75p7hk6-pybind11-2.13.6/bin:/gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/bin:/gnu/store/g34v9di9pw9d3p0z4gavygqp8197szky-qtwayland-5.15.17/bin:/gnu/store/8fwkjha8n2wj27apliapj2qifjy4aw8r-which-2.21/bin' environment variable `CMAKE_PREFIX_PATH' set to `/gnu/store/d5c8i3b549r412lkhddggyfx9mqdphs7-cmake-minimal-3.31.10/:/gnu/store/wpsqid3f6m9mfykmxb9cs1pw7jbz9wyz-ninja-1.13.2/:/gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/:/gnu/store/sv59jcpqqdkp5k4z2pl52si59why46i8-icestorm-1.1/:/gnu/store/fjnqbp82xlk7grb1phi377ifvy2ag4lp-iverilog-12.0/:/gnu/store/8plnc3lpnfhyqyy4khcjzm7lhnvvnnw7-googletest-1.17.0/:/gnu/store/s6licrd5y2pdjcfxc9pgzm5c25iijcqr-gzip-1.14/:/gnu/store/myv1iajncnbvxvia4cm3q4sfvkvlfdqj-prjbeyond-db-0-0.f49f66b/:/gnu/store/8pfqm2iv1ianih271zvr91vr333063b8-prjpeppercorn-1.8-db/:/gnu/store/awv4vdazri35d4f02ka6bjxmf91xrhaw-prjtrellis-1.4-1.92345b7/:/gnu/store/4zs2mc960p4lcix9fjyjg60mxm3k14j4-sanitizers-cmake-0.0-0.0573e2e/:/gnu/store/5sp1931djvgr9zdcykwpridnzmrpw7rw-yosys-0.60/:/gnu/store/jhgqcadj85v1408yxlqzw2cm3f24rbgc-tar-1.35/:/gnu/store/c4xryzrjpr2py7nsa5ghhgfgcf7rb5p4-gzip-1.14/:/gnu/store/7ykml1rwb7dmgsic1nvcwf3f8sj4wg6g-bzip2-1.0.8/:/gnu/store/rnj26qklbw75sn0b74i802acshrijy66-file-5.46/:/gnu/store/2nhsmzn8hpwmzjvn9q9a060cv131pz20-diffutils-3.12/:/gnu/store/89hni87ymkbsfmcfpvm85vxr4hqpqja2-patch-2.7.6/:/gnu/store/s581y18fra78gmkx3jd3vp0waa0n06iw-findutils-4.10.0/:/gnu/store/5189xfjv3av3djx824k3vplzgam5yk1q-gawk-5.3.0/:/gnu/store/7zm002rvw67rkhr52369xywj78qq6bbv-zstd-1.5.6/:/gnu/store/f3qlj9772192qg7gyjl5pa09inx4j66n-sed-4.9/:/gnu/store/4gnbgkqva5jdjl57j4yj6p21qa9p3cf2-grep-3.11/:/gnu/store/74kzd0881xh5b1b3cdq4pva26pywlpph-xz-5.4.5/:/gnu/store/rhxjwb4fwf5955z585mvir1ghi78py2z-coreutils-9.1/:/gnu/store/mx9i9dnjx34lkx8k8r79876q2f5dclvr-make-4.4.1/:/gnu/store/5j353w5171sgv76a7wc8adblxkfcink9-bash-minimal-5.2.37/:/gnu/store/szv2gf612qpy0kw88ip2bxf2msw4nqks-ld-wrapper-0/:/gnu/store/x7x8y4c3ccn33sq7r9nb77y5d5mz3970-binutils-2.44/:/gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/:/gnu/store/50jqiigxwa1s9xljqrl1wh85yncqnybr-glibc-2.41/:/gnu/store/j1ap1zbc911dk5wdvbvmy3f9p5sxmk45-glibc-2.41-static/:/gnu/store/88j8pc3kl8mpv027b8minkj5p5njqmpi-apycula-0.28/:/gnu/store/4vxzslxx9fcvhcq0cqjr168shg8s1kwa-boost-1.83.0/:/gnu/store/cgmjz8nlz195m4vxqb6jx00i1vm1wqp4-corrosion-0.5.1/:/gnu/store/r94kayv6y93mj1hgc13k11klha366dsw-eigen-3.4.0/:/gnu/store/3702dz9kiacbldynjm02a61ni75p7hk6-pybind11-2.13.6/:/gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/:/gnu/store/g34v9di9pw9d3p0z4gavygqp8197szky-qtwayland-5.15.17/:/gnu/store/ny8visn9x0y1r3bfylrirfbjprg3nh15-qtimgui-0.0-0.48d64a7/:/gnu/store/8fwkjha8n2wj27apliapj2qifjy4aw8r-which-2.21/:/gnu/store/f76qdd5i5aiyhii5vfg8inpcwgv81dyk-mesa-25.2.3/:/gnu/store/1zqziv4s5q2y8d3byhbzfz61hrpy4xrz-linux-libre-headers-6.12.17/:/gnu/store/lkr00hf38xnc265pziwm68bpii3xdg8q-imgui-1.86/:/gnu/store/52bc4k6kgp9cv4pinns4yfp5lagj8zqr-xorgproto-2024.1/:/gnu/store/9b6xbajrzba0jzvjpdw8sbnwk1iq6ja2-libxxf86vm-1.1.6/:/gnu/store/515l3riya9ffp834kd0fa0gn3xzqrdck-libxshmfence-1.3.3/:/gnu/store/mv71i3v40b2gqvqy1rfkc219cfihgwc0-libxfixes-6.0.1/:/gnu/store/4ab335v9nxqqfzvia87ccvgq33masz9a-libxdamage-1.1.6/:/gnu/store/vznnvmjy5q54gk0qvik9gi181li09wpf-libx11-1.8.12/:/gnu/store/dzbj2yv45vzrazlr6ywmzd828j4nx02p-libvdpau-1.5/:/gnu/store/56qrv5j1l2qfm1c4x0csidbprbq9ypcy-libdrm-2.4.124/:/gnu/store/gplfpx6vj7lv9mfzbqvirhks8ajazsbm-util-macros-1.20.2/:/gnu/store/1c41hrnadwb0a1af7n3skvj1kxznq29x-libxext-1.3.6/:/gnu/store/v60dfd1nbw4j0lk08ha0jfdmkin8xnpi-libxcb-1.17.0/:/gnu/store/v06qx4fqqy30fg4y3ls6p4c3g7wrmj89-libpciaccess-0.18.1/:/gnu/store/j461yp7q0sl48bbaj7f244aqycs3jwgl-libxdmcp-1.1.5/:/gnu/store/ywpvw7g27qcfr4clhdk2xxb5rijqfns3-libxau-1.0.12/:/gnu/store/rwwdavvfvn3d5nwwvmd4sf6bnw1qra88-zlib-1.3.1/' environment variable `SSL_CERT_DIR' unset environment variable `SSL_CERT_FILE' unset environment variable `QMAKEPATH' set to `/gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/lib/qt5:/gnu/store/g34v9di9pw9d3p0z4gavygqp8197szky-qtwayland-5.15.17/lib/qt5' environment variable `QML2_IMPORT_PATH' set to `/gnu/store/g34v9di9pw9d3p0z4gavygqp8197szky-qtwayland-5.15.17/lib/qt5/qml' environment variable `QT_PLUGIN_PATH' set to `/gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/lib/qt5/plugins:/gnu/store/g34v9di9pw9d3p0z4gavygqp8197szky-qtwayland-5.15.17/lib/qt5/plugins' environment variable `XDG_DATA_DIRS' set to `/gnu/store/d5c8i3b549r412lkhddggyfx9mqdphs7-cmake-minimal-3.31.10/share:/gnu/store/wpsqid3f6m9mfykmxb9cs1pw7jbz9wyz-ninja-1.13.2/share:/gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/share:/gnu/store/sv59jcpqqdkp5k4z2pl52si59why46i8-icestorm-1.1/share:/gnu/store/fjnqbp82xlk7grb1phi377ifvy2ag4lp-iverilog-12.0/share:/gnu/store/8plnc3lpnfhyqyy4khcjzm7lhnvvnnw7-googletest-1.17.0/share:/gnu/store/s6licrd5y2pdjcfxc9pgzm5c25iijcqr-gzip-1.14/share:/gnu/store/myv1iajncnbvxvia4cm3q4sfvkvlfdqj-prjbeyond-db-0-0.f49f66b/share:/gnu/store/8pfqm2iv1ianih271zvr91vr333063b8-prjpeppercorn-1.8-db/share:/gnu/store/awv4vdazri35d4f02ka6bjxmf91xrhaw-prjtrellis-1.4-1.92345b7/share:/gnu/store/4zs2mc960p4lcix9fjyjg60mxm3k14j4-sanitizers-cmake-0.0-0.0573e2e/share:/gnu/store/5sp1931djvgr9zdcykwpridnzmrpw7rw-yosys-0.60/share:/gnu/store/jhgqcadj85v1408yxlqzw2cm3f24rbgc-tar-1.35/share:/gnu/store/c4xryzrjpr2py7nsa5ghhgfgcf7rb5p4-gzip-1.14/share:/gnu/store/7ykml1rwb7dmgsic1nvcwf3f8sj4wg6g-bzip2-1.0.8/share:/gnu/store/rnj26qklbw75sn0b74i802acshrijy66-file-5.46/share:/gnu/store/2nhsmzn8hpwmzjvn9q9a060cv131pz20-diffutils-3.12/share:/gnu/store/89hni87ymkbsfmcfpvm85vxr4hqpqja2-patch-2.7.6/share:/gnu/store/s581y18fra78gmkx3jd3vp0waa0n06iw-findutils-4.10.0/share:/gnu/store/5189xfjv3av3djx824k3vplzgam5yk1q-gawk-5.3.0/share:/gnu/store/7zm002rvw67rkhr52369xywj78qq6bbv-zstd-1.5.6/share:/gnu/store/f3qlj9772192qg7gyjl5pa09inx4j66n-sed-4.9/share:/gnu/store/4gnbgkqva5jdjl57j4yj6p21qa9p3cf2-grep-3.11/share:/gnu/store/74kzd0881xh5b1b3cdq4pva26pywlpph-xz-5.4.5/share:/gnu/store/rhxjwb4fwf5955z585mvir1ghi78py2z-coreutils-9.1/share:/gnu/store/mx9i9dnjx34lkx8k8r79876q2f5dclvr-make-4.4.1/share:/gnu/store/5j353w5171sgv76a7wc8adblxkfcink9-bash-minimal-5.2.37/share:/gnu/store/x7x8y4c3ccn33sq7r9nb77y5d5mz3970-binutils-2.44/share:/gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/share:/gnu/store/50jqiigxwa1s9xljqrl1wh85yncqnybr-glibc-2.41/share:/gnu/store/j1ap1zbc911dk5wdvbvmy3f9p5sxmk45-glibc-2.41-static/share:/gnu/store/88j8pc3kl8mpv027b8minkj5p5njqmpi-apycula-0.28/share:/gnu/store/4vxzslxx9fcvhcq0cqjr168shg8s1kwa-boost-1.83.0/share:/gnu/store/cgmjz8nlz195m4vxqb6jx00i1vm1wqp4-corrosion-0.5.1/share:/gnu/store/r94kayv6y93mj1hgc13k11klha366dsw-eigen-3.4.0/share:/gnu/store/3702dz9kiacbldynjm02a61ni75p7hk6-pybind11-2.13.6/share:/gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/share:/gnu/store/g34v9di9pw9d3p0z4gavygqp8197szky-qtwayland-5.15.17/share:/gnu/store/ny8visn9x0y1r3bfylrirfbjprg3nh15-qtimgui-0.0-0.48d64a7/share:/gnu/store/8fwkjha8n2wj27apliapj2qifjy4aw8r-which-2.21/share:/gnu/store/f76qdd5i5aiyhii5vfg8inpcwgv81dyk-mesa-25.2.3/share:/gnu/store/1zqziv4s5q2y8d3byhbzfz61hrpy4xrz-linux-libre-headers-6.12.17/share:/gnu/store/lkr00hf38xnc265pziwm68bpii3xdg8q-imgui-1.86/share:/gnu/store/52bc4k6kgp9cv4pinns4yfp5lagj8zqr-xorgproto-2024.1/share:/gnu/store/9b6xbajrzba0jzvjpdw8sbnwk1iq6ja2-libxxf86vm-1.1.6/share:/gnu/store/515l3riya9ffp834kd0fa0gn3xzqrdck-libxshmfence-1.3.3/share:/gnu/store/mv71i3v40b2gqvqy1rfkc219cfihgwc0-libxfixes-6.0.1/share:/gnu/store/4ab335v9nxqqfzvia87ccvgq33masz9a-libxdamage-1.1.6/share:/gnu/store/vznnvmjy5q54gk0qvik9gi181li09wpf-libx11-1.8.12/share:/gnu/store/dzbj2yv45vzrazlr6ywmzd828j4nx02p-libvdpau-1.5/share:/gnu/store/56qrv5j1l2qfm1c4x0csidbprbq9ypcy-libdrm-2.4.124/share:/gnu/store/gplfpx6vj7lv9mfzbqvirhks8ajazsbm-util-macros-1.20.2/share:/gnu/store/1c41hrnadwb0a1af7n3skvj1kxznq29x-libxext-1.3.6/share:/gnu/store/v60dfd1nbw4j0lk08ha0jfdmkin8xnpi-libxcb-1.17.0/share:/gnu/store/v06qx4fqqy30fg4y3ls6p4c3g7wrmj89-libpciaccess-0.18.1/share:/gnu/store/j461yp7q0sl48bbaj7f244aqycs3jwgl-libxdmcp-1.1.5/share:/gnu/store/ywpvw7g27qcfr4clhdk2xxb5rijqfns3-libxau-1.0.12/share:/gnu/store/rwwdavvfvn3d5nwwvmd4sf6bnw1qra88-zlib-1.3.1/share' environment variable `XDG_CONFIG_DIRS' unset environment variable `BASH_LOADABLES_PATH' unset environment variable `C_INCLUDE_PATH' set to `/gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include:/gnu/store/fjnqbp82xlk7grb1phi377ifvy2ag4lp-iverilog-12.0/include:/gnu/store/8plnc3lpnfhyqyy4khcjzm7lhnvvnnw7-googletest-1.17.0/include:/gnu/store/7ykml1rwb7dmgsic1nvcwf3f8sj4wg6g-bzip2-1.0.8/include:/gnu/store/rnj26qklbw75sn0b74i802acshrijy66-file-5.46/include:/gnu/store/5189xfjv3av3djx824k3vplzgam5yk1q-gawk-5.3.0/include:/gnu/store/74kzd0881xh5b1b3cdq4pva26pywlpph-xz-5.4.5/include:/gnu/store/mx9i9dnjx34lkx8k8r79876q2f5dclvr-make-4.4.1/include:/gnu/store/x7x8y4c3ccn33sq7r9nb77y5d5mz3970-binutils-2.44/include:/gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/include:/gnu/store/50jqiigxwa1s9xljqrl1wh85yncqnybr-glibc-2.41/include:/gnu/store/4vxzslxx9fcvhcq0cqjr168shg8s1kwa-boost-1.83.0/include:/gnu/store/r94kayv6y93mj1hgc13k11klha366dsw-eigen-3.4.0/include:/gnu/store/3702dz9kiacbldynjm02a61ni75p7hk6-pybind11-2.13.6/include:/gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/include:/gnu/store/g34v9di9pw9d3p0z4gavygqp8197szky-qtwayland-5.15.17/include:/gnu/store/ny8visn9x0y1r3bfylrirfbjprg3nh15-qtimgui-0.0-0.48d64a7/include:/gnu/store/f76qdd5i5aiyhii5vfg8inpcwgv81dyk-mesa-25.2.3/include:/gnu/store/1zqziv4s5q2y8d3byhbzfz61hrpy4xrz-linux-libre-headers-6.12.17/include:/gnu/store/lkr00hf38xnc265pziwm68bpii3xdg8q-imgui-1.86/include:/gnu/store/52bc4k6kgp9cv4pinns4yfp5lagj8zqr-xorgproto-2024.1/include:/gnu/store/9b6xbajrzba0jzvjpdw8sbnwk1iq6ja2-libxxf86vm-1.1.6/include:/gnu/store/515l3riya9ffp834kd0fa0gn3xzqrdck-libxshmfence-1.3.3/include:/gnu/store/mv71i3v40b2gqvqy1rfkc219cfihgwc0-libxfixes-6.0.1/include:/gnu/store/4ab335v9nxqqfzvia87ccvgq33masz9a-libxdamage-1.1.6/include:/gnu/store/vznnvmjy5q54gk0qvik9gi181li09wpf-libx11-1.8.12/include:/gnu/store/dzbj2yv45vzrazlr6ywmzd828j4nx02p-libvdpau-1.5/include:/gnu/store/56qrv5j1l2qfm1c4x0csidbprbq9ypcy-libdrm-2.4.124/include:/gnu/store/1c41hrnadwb0a1af7n3skvj1kxznq29x-libxext-1.3.6/include:/gnu/store/v60dfd1nbw4j0lk08ha0jfdmkin8xnpi-libxcb-1.17.0/include:/gnu/store/v06qx4fqqy30fg4y3ls6p4c3g7wrmj89-libpciaccess-0.18.1/include:/gnu/store/j461yp7q0sl48bbaj7f244aqycs3jwgl-libxdmcp-1.1.5/include:/gnu/store/ywpvw7g27qcfr4clhdk2xxb5rijqfns3-libxau-1.0.12/include:/gnu/store/rwwdavvfvn3d5nwwvmd4sf6bnw1qra88-zlib-1.3.1/include' environment variable `CPLUS_INCLUDE_PATH' set to `/gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include:/gnu/store/fjnqbp82xlk7grb1phi377ifvy2ag4lp-iverilog-12.0/include:/gnu/store/8plnc3lpnfhyqyy4khcjzm7lhnvvnnw7-googletest-1.17.0/include:/gnu/store/7ykml1rwb7dmgsic1nvcwf3f8sj4wg6g-bzip2-1.0.8/include:/gnu/store/rnj26qklbw75sn0b74i802acshrijy66-file-5.46/include:/gnu/store/5189xfjv3av3djx824k3vplzgam5yk1q-gawk-5.3.0/include:/gnu/store/74kzd0881xh5b1b3cdq4pva26pywlpph-xz-5.4.5/include:/gnu/store/mx9i9dnjx34lkx8k8r79876q2f5dclvr-make-4.4.1/include:/gnu/store/x7x8y4c3ccn33sq7r9nb77y5d5mz3970-binutils-2.44/include:/gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/include/c++:/gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/include:/gnu/store/50jqiigxwa1s9xljqrl1wh85yncqnybr-glibc-2.41/include:/gnu/store/4vxzslxx9fcvhcq0cqjr168shg8s1kwa-boost-1.83.0/include:/gnu/store/r94kayv6y93mj1hgc13k11klha366dsw-eigen-3.4.0/include:/gnu/store/3702dz9kiacbldynjm02a61ni75p7hk6-pybind11-2.13.6/include:/gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/include:/gnu/store/g34v9di9pw9d3p0z4gavygqp8197szky-qtwayland-5.15.17/include:/gnu/store/ny8visn9x0y1r3bfylrirfbjprg3nh15-qtimgui-0.0-0.48d64a7/include:/gnu/store/f76qdd5i5aiyhii5vfg8inpcwgv81dyk-mesa-25.2.3/include:/gnu/store/1zqziv4s5q2y8d3byhbzfz61hrpy4xrz-linux-libre-headers-6.12.17/include:/gnu/store/lkr00hf38xnc265pziwm68bpii3xdg8q-imgui-1.86/include:/gnu/store/52bc4k6kgp9cv4pinns4yfp5lagj8zqr-xorgproto-2024.1/include:/gnu/store/9b6xbajrzba0jzvjpdw8sbnwk1iq6ja2-libxxf86vm-1.1.6/include:/gnu/store/515l3riya9ffp834kd0fa0gn3xzqrdck-libxshmfence-1.3.3/include:/gnu/store/mv71i3v40b2gqvqy1rfkc219cfihgwc0-libxfixes-6.0.1/include:/gnu/store/4ab335v9nxqqfzvia87ccvgq33masz9a-libxdamage-1.1.6/include:/gnu/store/vznnvmjy5q54gk0qvik9gi181li09wpf-libx11-1.8.12/include:/gnu/store/dzbj2yv45vzrazlr6ywmzd828j4nx02p-libvdpau-1.5/include:/gnu/store/56qrv5j1l2qfm1c4x0csidbprbq9ypcy-libdrm-2.4.124/include:/gnu/store/1c41hrnadwb0a1af7n3skvj1kxznq29x-libxext-1.3.6/include:/gnu/store/v60dfd1nbw4j0lk08ha0jfdmkin8xnpi-libxcb-1.17.0/include:/gnu/store/v06qx4fqqy30fg4y3ls6p4c3g7wrmj89-libpciaccess-0.18.1/include:/gnu/store/j461yp7q0sl48bbaj7f244aqycs3jwgl-libxdmcp-1.1.5/include:/gnu/store/ywpvw7g27qcfr4clhdk2xxb5rijqfns3-libxau-1.0.12/include:/gnu/store/rwwdavvfvn3d5nwwvmd4sf6bnw1qra88-zlib-1.3.1/include' environment variable `OBJC_INCLUDE_PATH' set to `/gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include:/gnu/store/fjnqbp82xlk7grb1phi377ifvy2ag4lp-iverilog-12.0/include:/gnu/store/8plnc3lpnfhyqyy4khcjzm7lhnvvnnw7-googletest-1.17.0/include:/gnu/store/7ykml1rwb7dmgsic1nvcwf3f8sj4wg6g-bzip2-1.0.8/include:/gnu/store/rnj26qklbw75sn0b74i802acshrijy66-file-5.46/include:/gnu/store/5189xfjv3av3djx824k3vplzgam5yk1q-gawk-5.3.0/include:/gnu/store/74kzd0881xh5b1b3cdq4pva26pywlpph-xz-5.4.5/include:/gnu/store/mx9i9dnjx34lkx8k8r79876q2f5dclvr-make-4.4.1/include:/gnu/store/x7x8y4c3ccn33sq7r9nb77y5d5mz3970-binutils-2.44/include:/gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/include:/gnu/store/50jqiigxwa1s9xljqrl1wh85yncqnybr-glibc-2.41/include:/gnu/store/4vxzslxx9fcvhcq0cqjr168shg8s1kwa-boost-1.83.0/include:/gnu/store/r94kayv6y93mj1hgc13k11klha366dsw-eigen-3.4.0/include:/gnu/store/3702dz9kiacbldynjm02a61ni75p7hk6-pybind11-2.13.6/include:/gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/include:/gnu/store/g34v9di9pw9d3p0z4gavygqp8197szky-qtwayland-5.15.17/include:/gnu/store/ny8visn9x0y1r3bfylrirfbjprg3nh15-qtimgui-0.0-0.48d64a7/include:/gnu/store/f76qdd5i5aiyhii5vfg8inpcwgv81dyk-mesa-25.2.3/include:/gnu/store/1zqziv4s5q2y8d3byhbzfz61hrpy4xrz-linux-libre-headers-6.12.17/include:/gnu/store/lkr00hf38xnc265pziwm68bpii3xdg8q-imgui-1.86/include:/gnu/store/52bc4k6kgp9cv4pinns4yfp5lagj8zqr-xorgproto-2024.1/include:/gnu/store/9b6xbajrzba0jzvjpdw8sbnwk1iq6ja2-libxxf86vm-1.1.6/include:/gnu/store/515l3riya9ffp834kd0fa0gn3xzqrdck-libxshmfence-1.3.3/include:/gnu/store/mv71i3v40b2gqvqy1rfkc219cfihgwc0-libxfixes-6.0.1/include:/gnu/store/4ab335v9nxqqfzvia87ccvgq33masz9a-libxdamage-1.1.6/include:/gnu/store/vznnvmjy5q54gk0qvik9gi181li09wpf-libx11-1.8.12/include:/gnu/store/dzbj2yv45vzrazlr6ywmzd828j4nx02p-libvdpau-1.5/include:/gnu/store/56qrv5j1l2qfm1c4x0csidbprbq9ypcy-libdrm-2.4.124/include:/gnu/store/1c41hrnadwb0a1af7n3skvj1kxznq29x-libxext-1.3.6/include:/gnu/store/v60dfd1nbw4j0lk08ha0jfdmkin8xnpi-libxcb-1.17.0/include:/gnu/store/v06qx4fqqy30fg4y3ls6p4c3g7wrmj89-libpciaccess-0.18.1/include:/gnu/store/j461yp7q0sl48bbaj7f244aqycs3jwgl-libxdmcp-1.1.5/include:/gnu/store/ywpvw7g27qcfr4clhdk2xxb5rijqfns3-libxau-1.0.12/include:/gnu/store/rwwdavvfvn3d5nwwvmd4sf6bnw1qra88-zlib-1.3.1/include' environment variable `OBJCPLUS_INCLUDE_PATH' set to `/gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include:/gnu/store/fjnqbp82xlk7grb1phi377ifvy2ag4lp-iverilog-12.0/include:/gnu/store/8plnc3lpnfhyqyy4khcjzm7lhnvvnnw7-googletest-1.17.0/include:/gnu/store/7ykml1rwb7dmgsic1nvcwf3f8sj4wg6g-bzip2-1.0.8/include:/gnu/store/rnj26qklbw75sn0b74i802acshrijy66-file-5.46/include:/gnu/store/5189xfjv3av3djx824k3vplzgam5yk1q-gawk-5.3.0/include:/gnu/store/74kzd0881xh5b1b3cdq4pva26pywlpph-xz-5.4.5/include:/gnu/store/mx9i9dnjx34lkx8k8r79876q2f5dclvr-make-4.4.1/include:/gnu/store/x7x8y4c3ccn33sq7r9nb77y5d5mz3970-binutils-2.44/include:/gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/include/c++:/gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/include:/gnu/store/50jqiigxwa1s9xljqrl1wh85yncqnybr-glibc-2.41/include:/gnu/store/4vxzslxx9fcvhcq0cqjr168shg8s1kwa-boost-1.83.0/include:/gnu/store/r94kayv6y93mj1hgc13k11klha366dsw-eigen-3.4.0/include:/gnu/store/3702dz9kiacbldynjm02a61ni75p7hk6-pybind11-2.13.6/include:/gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/include:/gnu/store/g34v9di9pw9d3p0z4gavygqp8197szky-qtwayland-5.15.17/include:/gnu/store/ny8visn9x0y1r3bfylrirfbjprg3nh15-qtimgui-0.0-0.48d64a7/include:/gnu/store/f76qdd5i5aiyhii5vfg8inpcwgv81dyk-mesa-25.2.3/include:/gnu/store/1zqziv4s5q2y8d3byhbzfz61hrpy4xrz-linux-libre-headers-6.12.17/include:/gnu/store/lkr00hf38xnc265pziwm68bpii3xdg8q-imgui-1.86/include:/gnu/store/52bc4k6kgp9cv4pinns4yfp5lagj8zqr-xorgproto-2024.1/include:/gnu/store/9b6xbajrzba0jzvjpdw8sbnwk1iq6ja2-libxxf86vm-1.1.6/include:/gnu/store/515l3riya9ffp834kd0fa0gn3xzqrdck-libxshmfence-1.3.3/include:/gnu/store/mv71i3v40b2gqvqy1rfkc219cfihgwc0-libxfixes-6.0.1/include:/gnu/store/4ab335v9nxqqfzvia87ccvgq33masz9a-libxdamage-1.1.6/include:/gnu/store/vznnvmjy5q54gk0qvik9gi181li09wpf-libx11-1.8.12/include:/gnu/store/dzbj2yv45vzrazlr6ywmzd828j4nx02p-libvdpau-1.5/include:/gnu/store/56qrv5j1l2qfm1c4x0csidbprbq9ypcy-libdrm-2.4.124/include:/gnu/store/1c41hrnadwb0a1af7n3skvj1kxznq29x-libxext-1.3.6/include:/gnu/store/v60dfd1nbw4j0lk08ha0jfdmkin8xnpi-libxcb-1.17.0/include:/gnu/store/v06qx4fqqy30fg4y3ls6p4c3g7wrmj89-libpciaccess-0.18.1/include:/gnu/store/j461yp7q0sl48bbaj7f244aqycs3jwgl-libxdmcp-1.1.5/include:/gnu/store/ywpvw7g27qcfr4clhdk2xxb5rijqfns3-libxau-1.0.12/include:/gnu/store/rwwdavvfvn3d5nwwvmd4sf6bnw1qra88-zlib-1.3.1/include' environment variable `LIBRARY_PATH' set to `/gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/lib:/gnu/store/fjnqbp82xlk7grb1phi377ifvy2ag4lp-iverilog-12.0/lib:/gnu/store/8plnc3lpnfhyqyy4khcjzm7lhnvvnnw7-googletest-1.17.0/lib:/gnu/store/awv4vdazri35d4f02ka6bjxmf91xrhaw-prjtrellis-1.4-1.92345b7/lib:/gnu/store/5sp1931djvgr9zdcykwpridnzmrpw7rw-yosys-0.60/lib:/gnu/store/7ykml1rwb7dmgsic1nvcwf3f8sj4wg6g-bzip2-1.0.8/lib:/gnu/store/rnj26qklbw75sn0b74i802acshrijy66-file-5.46/lib:/gnu/store/5189xfjv3av3djx824k3vplzgam5yk1q-gawk-5.3.0/lib:/gnu/store/74kzd0881xh5b1b3cdq4pva26pywlpph-xz-5.4.5/lib:/gnu/store/x7x8y4c3ccn33sq7r9nb77y5d5mz3970-binutils-2.44/lib:/gnu/store/50jqiigxwa1s9xljqrl1wh85yncqnybr-glibc-2.41/lib:/gnu/store/j1ap1zbc911dk5wdvbvmy3f9p5sxmk45-glibc-2.41-static/lib:/gnu/store/88j8pc3kl8mpv027b8minkj5p5njqmpi-apycula-0.28/lib:/gnu/store/4vxzslxx9fcvhcq0cqjr168shg8s1kwa-boost-1.83.0/lib:/gnu/store/cgmjz8nlz195m4vxqb6jx00i1vm1wqp4-corrosion-0.5.1/lib:/gnu/store/3702dz9kiacbldynjm02a61ni75p7hk6-pybind11-2.13.6/lib:/gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/lib:/gnu/store/g34v9di9pw9d3p0z4gavygqp8197szky-qtwayland-5.15.17/lib:/gnu/store/ny8visn9x0y1r3bfylrirfbjprg3nh15-qtimgui-0.0-0.48d64a7/lib:/gnu/store/f76qdd5i5aiyhii5vfg8inpcwgv81dyk-mesa-25.2.3/lib:/gnu/store/lkr00hf38xnc265pziwm68bpii3xdg8q-imgui-1.86/lib:/gnu/store/9b6xbajrzba0jzvjpdw8sbnwk1iq6ja2-libxxf86vm-1.1.6/lib:/gnu/store/515l3riya9ffp834kd0fa0gn3xzqrdck-libxshmfence-1.3.3/lib:/gnu/store/mv71i3v40b2gqvqy1rfkc219cfihgwc0-libxfixes-6.0.1/lib:/gnu/store/4ab335v9nxqqfzvia87ccvgq33masz9a-libxdamage-1.1.6/lib:/gnu/store/vznnvmjy5q54gk0qvik9gi181li09wpf-libx11-1.8.12/lib:/gnu/store/dzbj2yv45vzrazlr6ywmzd828j4nx02p-libvdpau-1.5/lib:/gnu/store/56qrv5j1l2qfm1c4x0csidbprbq9ypcy-libdrm-2.4.124/lib:/gnu/store/gplfpx6vj7lv9mfzbqvirhks8ajazsbm-util-macros-1.20.2/lib:/gnu/store/1c41hrnadwb0a1af7n3skvj1kxznq29x-libxext-1.3.6/lib:/gnu/store/v60dfd1nbw4j0lk08ha0jfdmkin8xnpi-libxcb-1.17.0/lib:/gnu/store/v06qx4fqqy30fg4y3ls6p4c3g7wrmj89-libpciaccess-0.18.1/lib:/gnu/store/j461yp7q0sl48bbaj7f244aqycs3jwgl-libxdmcp-1.1.5/lib:/gnu/store/ywpvw7g27qcfr4clhdk2xxb5rijqfns3-libxau-1.0.12/lib:/gnu/store/rwwdavvfvn3d5nwwvmd4sf6bnw1qra88-zlib-1.3.1/lib' environment variable `GUIX_LOCPATH' set to `/gnu/store/50jqiigxwa1s9xljqrl1wh85yncqnybr-glibc-2.41/lib/locale' environment variable `TZDIR' unset environment variable `GUIX_PYTHONPATH' set to `/gnu/store/88j8pc3kl8mpv027b8minkj5p5njqmpi-apycula-0.28/lib/python3.11/site-packages:/gnu/store/3702dz9kiacbldynjm02a61ni75p7hk6-pybind11-2.13.6/lib/python3.11/site-packages:/gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/lib/python3.11/site-packages' environment variable `PYTHONTZPATH' unset environment variable `VDPAU_DRIVER_PATH' set to `/gnu/store/f76qdd5i5aiyhii5vfg8inpcwgv81dyk-mesa-25.2.3/lib/vdpau' phase `set-paths' succeeded after 0.0 seconds starting phase `install-locale' using 'C.UTF-8' locale for category "LC_ALL" phase `install-locale' succeeded after 0.0 seconds starting phase `unpack' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/README.md' -> `./README.md' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/.gitignore' -> `./.gitignore' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/COPYING' -> `./COPYING' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/.clang-format' -> `./.clang-format' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/.gitmodules' -> `./.gitmodules' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/CODE_OF_CONDUCT' -> `./CODE_OF_CONDUCT' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/CMakeLists.txt' -> `./CMakeLists.txt' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/shell.nix' -> `./shell.nix' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/frontend/json_frontend.h' -> `./frontend/json_frontend.h' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/frontend/frontend_base.h' -> `./frontend/frontend_base.h' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/frontend/json_frontend.cc' -> `./frontend/json_frontend.cc' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/frontend/CMakeLists.txt' -> `./frontend/CMakeLists.txt' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/cmake/FindOxide.cmake' -> `./cmake/FindOxide.cmake' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/cmake/CheckCXXCompilerHashEmbed.cmake' -> `./cmake/CheckCXXCompilerHashEmbed.cmake' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/cmake/BBAsm.cmake' -> `./cmake/BBAsm.cmake' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/cmake/FindApycula.cmake' -> `./cmake/FindApycula.cmake' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/cmake/CodeCoverage.cmake' -> `./cmake/CodeCoverage.cmake' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/cmake/FindTrellis.cmake' -> `./cmake/FindTrellis.cmake' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/cmake/FindIceStorm.cmake' -> `./cmake/FindIceStorm.cmake' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/mistral/arch_pybindings.cc' -> `./mistral/arch_pybindings.cc' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/mistral/bitstream.cc' -> `./mistral/bitstream.cc' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/mistral/lab.cc' -> `./mistral/lab.cc' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/mistral/main.cc' -> `./mistral/main.cc' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/mistral/arch_pybindings.h' -> `./mistral/arch_pybindings.h' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/mistral/arch.h' -> `./mistral/arch.h' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/mistral/arch.cc' -> `./mistral/arch.cc' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/mistral/constids.inc' -> `./mistral/constids.inc' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/mistral/io.cc' -> `./mistral/io.cc' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/mistral/archdefs.cc' -> `./mistral/archdefs.cc' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/mistral/pins.cc' -> `./mistral/pins.cc' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/mistral/qsf.cc' -> `./mistral/qsf.cc' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/mistral/delay.cc' -> `./mistral/delay.cc' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/mistral/m10k.cc' -> `./mistral/m10k.cc' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/mistral/archdefs.h' -> `./mistral/archdefs.h' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/mistral/globals.cc' -> `./mistral/globals.cc' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/mistral/CMakeLists.txt' -> `./mistral/CMakeLists.txt' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/mistral/pack.cc' -> `./mistral/pack.cc' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/python/plot_congestion_by_coordinate.py' -> `./python/plot_congestion_by_coordinate.py' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/python/svg_routing_fast.py' -> `./python/svg_routing_fast.py' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/python/dump_design.py' -> `./python/dump_design.py' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/python/report_hierarchy.py' -> `./python/report_hierarchy.py' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/python/interactive.py' -> `./python/interactive.py' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/python/svg_routing.py' -> `./python/svg_routing.py' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/python/plot_congestion_by_wiretype.py' -> `./python/plot_congestion_by_wiretype.py' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/python/delay_vs_fanout.py' -> `./python/delay_vs_fanout.py' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/python/svg_placement.py' -> `./python/svg_placement.py' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/python/check_arch_api.py' -> `./python/check_arch_api.py' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/himbaechel/arch_pybindings.cc' -> `./himbaechel/arch_pybindings.cc' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/himbaechel/.gitignore' -> `./himbaechel/.gitignore' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/himbaechel/himbaechel_constids.h' -> `./himbaechel/himbaechel_constids.h' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/himbaechel/main.cc' -> `./himbaechel/main.cc' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/himbaechel/arch_pybindings.h' -> `./himbaechel/arch_pybindings.h' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/himbaechel/arch.h' -> `./himbaechel/arch.h' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/himbaechel/arch.cc' -> `./himbaechel/arch.cc' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/himbaechel/himbaechel_api.h' -> `./himbaechel/himbaechel_api.h' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/himbaechel/himbaechel_api.cc' -> `./himbaechel/himbaechel_api.cc' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/himbaechel/himbaechel_gfxids.h' -> `./himbaechel/himbaechel_gfxids.h' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/himbaechel/chipdb.h' -> `./himbaechel/chipdb.h' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/himbaechel/archdefs.h' -> `./himbaechel/archdefs.h' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/himbaechel/himbaechel_helpers.cc' -> `./himbaechel/himbaechel_helpers.cc' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/himbaechel/CMakeLists.txt' -> `./himbaechel/CMakeLists.txt' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/himbaechel/himbaechel_helpers.h' -> `./himbaechel/himbaechel_helpers.h' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/himbaechel/uarch/xilinx/xilinx.cc' -> `./himbaechel/uarch/xilinx/xilinx.cc' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/himbaechel/uarch/xilinx/pack.h' -> `./himbaechel/uarch/xilinx/pack.h' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/himbaechel/uarch/xilinx/xilinx_place.cc' -> `./himbaechel/uarch/xilinx/xilinx_place.cc' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/himbaechel/uarch/xilinx/pack_dsp_xc7.cc' -> `./himbaechel/uarch/xilinx/pack_dsp_xc7.cc' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/himbaechel/uarch/xilinx/pack_io.cc' -> `./himbaechel/uarch/xilinx/pack_io.cc' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/himbaechel/uarch/xilinx/xilinx.h' -> `./himbaechel/uarch/xilinx/xilinx.h' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/himbaechel/uarch/xilinx/pack_clocking.cc' -> `./himbaechel/uarch/xilinx/pack_clocking.cc' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/himbaechel/uarch/xilinx/pins.h' -> `./himbaechel/uarch/xilinx/pins.h' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/himbaechel/uarch/xilinx/pack_carry.cc' -> `./himbaechel/uarch/xilinx/pack_carry.cc' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/himbaechel/uarch/xilinx/constids.inc' -> `./himbaechel/uarch/xilinx/constids.inc' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/himbaechel/uarch/xilinx/cells.cc' -> `./himbaechel/uarch/xilinx/cells.cc' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/himbaechel/uarch/xilinx/pack_dram.cc' -> `./himbaechel/uarch/xilinx/pack_dram.cc' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/himbaechel/uarch/xilinx/fasm.cc' -> `./himbaechel/uarch/xilinx/fasm.cc' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/himbaechel/uarch/xilinx/pins.cc' -> `./himbaechel/uarch/xilinx/pins.cc' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/himbaechel/uarch/xilinx/CMakeLists.txt' -> `./himbaechel/uarch/xilinx/CMakeLists.txt' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/himbaechel/uarch/xilinx/extra_data.h' -> `./himbaechel/uarch/xilinx/extra_data.h' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/himbaechel/uarch/xilinx/xdc.cc' -> `./himbaechel/uarch/xilinx/xdc.cc' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/himbaechel/uarch/xilinx/pack.cc' -> `./himbaechel/uarch/xilinx/pack.cc' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/himbaechel/uarch/xilinx/gen/xilinx_device.py' -> `./himbaechel/uarch/xilinx/gen/xilinx_device.py' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/himbaechel/uarch/xilinx/gen/parse_sdf.py' -> `./himbaechel/uarch/xilinx/gen/parse_sdf.py' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/himbaechel/uarch/xilinx/gen/tileconn.py' -> `./himbaechel/uarch/xilinx/gen/tileconn.py' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/himbaechel/uarch/xilinx/gen/filters.py' -> `./himbaechel/uarch/xilinx/gen/filters.py' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/himbaechel/uarch/xilinx/gen/xilinx_gen.py' -> `./himbaechel/uarch/xilinx/gen/xilinx_gen.py' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/himbaechel/uarch/xilinx/meta/README.md' -> `./himbaechel/uarch/xilinx/meta/README.md' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/himbaechel/uarch/xilinx/meta/COPYING' -> `./himbaechel/uarch/xilinx/meta/COPYING' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/himbaechel/uarch/xilinx/meta/kintex7/site_type_DCIRESET.json' -> `./himbaechel/uarch/xilinx/meta/kintex7/site_type_DCIRESET.json' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/himbaechel/uarch/xilinx/meta/kintex7/site_type_RAMBFIFO36E1.json' -> `./himbaechel/uarch/xilinx/meta/kintex7/site_type_RAMBFIFO36E1.json' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/himbaechel/uarch/xilinx/meta/kintex7/site_type_FIFO18E1.json' -> `./himbaechel/uarch/xilinx/meta/kintex7/site_type_FIFO18E1.json' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/himbaechel/uarch/xilinx/meta/kintex7/site_type_IDELAYE2_FINEDELAY.json' -> `./himbaechel/uarch/xilinx/meta/kintex7/site_type_IDELAYE2_FINEDELAY.json' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/himbaechel/uarch/xilinx/meta/kintex7/site_type_SLICEL.json' -> `./himbaechel/uarch/xilinx/meta/kintex7/site_type_SLICEL.json' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/himbaechel/uarch/xilinx/meta/kintex7/site_type_PLLE2_ADV.json' -> `./himbaechel/uarch/xilinx/meta/kintex7/site_type_PLLE2_ADV.json' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/himbaechel/uarch/xilinx/meta/kintex7/site_type_BUFHCE.json' -> `./himbaechel/uarch/xilinx/meta/kintex7/site_type_BUFHCE.json' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/himbaechel/uarch/xilinx/meta/kintex7/wire_intents.json' -> `./himbaechel/uarch/xilinx/meta/kintex7/wire_intents.json' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/himbaechel/uarch/xilinx/meta/kintex7/site_type_IOB33S.json' -> `./himbaechel/uarch/xilinx/meta/kintex7/site_type_IOB33S.json' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/himbaechel/uarch/xilinx/meta/kintex7/site_type_BUFIO.json' -> `./himbaechel/uarch/xilinx/meta/kintex7/site_type_BUFIO.json' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/himbaechel/uarch/xilinx/meta/kintex7/site_type_RAMB18E1.json' -> `./himbaechel/uarch/xilinx/meta/kintex7/site_type_RAMB18E1.json' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/himbaechel/uarch/xilinx/meta/kintex7/site_type_OLOGICE2.json' -> `./himbaechel/uarch/xilinx/meta/kintex7/site_type_OLOGICE2.json' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/himbaechel/uarch/xilinx/meta/kintex7/site_type_IOB33.json' -> `./himbaechel/uarch/xilinx/meta/kintex7/site_type_IOB33.json' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/himbaechel/uarch/xilinx/meta/kintex7/site_type_DSP48E1.json' -> `./himbaechel/uarch/xilinx/meta/kintex7/site_type_DSP48E1.json' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/himbaechel/uarch/xilinx/meta/kintex7/site_type_OLOGICE3.json' -> `./himbaechel/uarch/xilinx/meta/kintex7/site_type_OLOGICE3.json' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/himbaechel/uarch/xilinx/meta/kintex7/site_type_SLICEM.json' -> `./himbaechel/uarch/xilinx/meta/kintex7/site_type_SLICEM.json' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/himbaechel/uarch/xilinx/meta/kintex7/site_type_ODELAYE2.json' -> `./himbaechel/uarch/xilinx/meta/kintex7/site_type_ODELAYE2.json' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/himbaechel/uarch/xilinx/meta/kintex7/site_type_EFUSE_USR.json' -> `./himbaechel/uarch/xilinx/meta/kintex7/site_type_EFUSE_USR.json' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/himbaechel/uarch/xilinx/meta/kintex7/site_type_ILOGICE3.json' -> `./himbaechel/uarch/xilinx/meta/kintex7/site_type_ILOGICE3.json' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/himbaechel/uarch/xilinx/meta/kintex7/site_type_ILOGICE2.json' -> `./himbaechel/uarch/xilinx/meta/kintex7/site_type_ILOGICE2.json' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/himbaechel/uarch/xilinx/meta/kintex7/site_type_IDELAYCTRL.json' -> `./himbaechel/uarch/xilinx/meta/kintex7/site_type_IDELAYCTRL.json' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/himbaechel/uarch/xilinx/meta/kintex7/site_type_IOB18M.json' -> `./himbaechel/uarch/xilinx/meta/kintex7/site_type_IOB18M.json' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/himbaechel/uarch/xilinx/meta/kintex7/site_type_FRAME_ECC.json' -> `./himbaechel/uarch/xilinx/meta/kintex7/site_type_FRAME_ECC.json' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/himbaechel/uarch/xilinx/meta/kintex7/site_type_ICAP.json' -> `./himbaechel/uarch/xilinx/meta/kintex7/site_type_ICAP.json' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/himbaechel/uarch/xilinx/meta/kintex7/site_type_BSCAN.json' -> `./himbaechel/uarch/xilinx/meta/kintex7/site_type_BSCAN.json' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/himbaechel/uarch/xilinx/meta/kintex7/site_type_BUFGCTRL.json' -> `./himbaechel/uarch/xilinx/meta/kintex7/site_type_BUFGCTRL.json' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/himbaechel/uarch/xilinx/meta/kintex7/site_type_USR_ACCESS.json' -> `./himbaechel/uarch/xilinx/meta/kintex7/site_type_USR_ACCESS.json' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/himbaechel/uarch/xilinx/meta/kintex7/site_type_IDELAYE2.json' -> `./himbaechel/uarch/xilinx/meta/kintex7/site_type_IDELAYE2.json' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/himbaechel/uarch/xilinx/meta/kintex7/site_type_IOB33M.json' -> `./himbaechel/uarch/xilinx/meta/kintex7/site_type_IOB33M.json' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/himbaechel/uarch/xilinx/meta/kintex7/site_type_DNA_PORT.json' -> `./himbaechel/uarch/xilinx/meta/kintex7/site_type_DNA_PORT.json' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/himbaechel/uarch/xilinx/meta/kintex7/site_type_STARTUP.json' -> `./himbaechel/uarch/xilinx/meta/kintex7/site_type_STARTUP.json' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/himbaechel/uarch/xilinx/meta/kintex7/site_type_IOB18S.json' -> `./himbaechel/uarch/xilinx/meta/kintex7/site_type_IOB18S.json' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/himbaechel/uarch/xilinx/meta/kintex7/site_type_IOB18.json' -> `./himbaechel/uarch/xilinx/meta/kintex7/site_type_IOB18.json' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/himbaechel/uarch/xilinx/meta/zynq7/site_type_DCIRESET.json' -> `./himbaechel/uarch/xilinx/meta/zynq7/site_type_DCIRESET.json' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/himbaechel/uarch/xilinx/meta/zynq7/site_type_RAMBFIFO36E1.json' -> `./himbaechel/uarch/xilinx/meta/zynq7/site_type_RAMBFIFO36E1.json' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/himbaechel/uarch/xilinx/meta/zynq7/site_type_FIFO18E1.json' -> `./himbaechel/uarch/xilinx/meta/zynq7/site_type_FIFO18E1.json' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/himbaechel/uarch/xilinx/meta/zynq7/site_type_SLICEL.json' -> `./himbaechel/uarch/xilinx/meta/zynq7/site_type_SLICEL.json' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/himbaechel/uarch/xilinx/meta/zynq7/site_type_PLLE2_ADV.json' -> `./himbaechel/uarch/xilinx/meta/zynq7/site_type_PLLE2_ADV.json' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/himbaechel/uarch/xilinx/meta/zynq7/site_type_BUFHCE.json' -> `./himbaechel/uarch/xilinx/meta/zynq7/site_type_BUFHCE.json' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/himbaechel/uarch/xilinx/meta/zynq7/wire_intents.json' -> `./himbaechel/uarch/xilinx/meta/zynq7/wire_intents.json' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/himbaechel/uarch/xilinx/meta/zynq7/site_type_IOB33S.json' -> `./himbaechel/uarch/xilinx/meta/zynq7/site_type_IOB33S.json' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/himbaechel/uarch/xilinx/meta/zynq7/site_type_BUFIO.json' -> `./himbaechel/uarch/xilinx/meta/zynq7/site_type_BUFIO.json' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/himbaechel/uarch/xilinx/meta/zynq7/site_type_RAMB18E1.json' -> `./himbaechel/uarch/xilinx/meta/zynq7/site_type_RAMB18E1.json' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/himbaechel/uarch/xilinx/meta/zynq7/site_type_PS7.json' -> `./himbaechel/uarch/xilinx/meta/zynq7/site_type_PS7.json' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/himbaechel/uarch/xilinx/meta/zynq7/site_type_IOB33.json' -> `./himbaechel/uarch/xilinx/meta/zynq7/site_type_IOB33.json' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/himbaechel/uarch/xilinx/meta/zynq7/site_type_DSP48E1.json' -> `./himbaechel/uarch/xilinx/meta/zynq7/site_type_DSP48E1.json' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/himbaechel/uarch/xilinx/meta/zynq7/site_type_OLOGICE3.json' -> `./himbaechel/uarch/xilinx/meta/zynq7/site_type_OLOGICE3.json' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/himbaechel/uarch/xilinx/meta/zynq7/site_type_SLICEM.json' -> `./himbaechel/uarch/xilinx/meta/zynq7/site_type_SLICEM.json' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/himbaechel/uarch/xilinx/meta/zynq7/site_type_EFUSE_USR.json' -> `./himbaechel/uarch/xilinx/meta/zynq7/site_type_EFUSE_USR.json' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/himbaechel/uarch/xilinx/meta/zynq7/site_type_ILOGICE3.json' -> `./himbaechel/uarch/xilinx/meta/zynq7/site_type_ILOGICE3.json' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/himbaechel/uarch/xilinx/meta/zynq7/site_type_IDELAYCTRL.json' -> `./himbaechel/uarch/xilinx/meta/zynq7/site_type_IDELAYCTRL.json' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/himbaechel/uarch/xilinx/meta/zynq7/site_type_FRAME_ECC.json' -> `./himbaechel/uarch/xilinx/meta/zynq7/site_type_FRAME_ECC.json' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/himbaechel/uarch/xilinx/meta/zynq7/site_type_ICAP.json' -> `./himbaechel/uarch/xilinx/meta/zynq7/site_type_ICAP.json' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/himbaechel/uarch/xilinx/meta/zynq7/site_type_BSCAN.json' -> `./himbaechel/uarch/xilinx/meta/zynq7/site_type_BSCAN.json' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/himbaechel/uarch/xilinx/meta/zynq7/site_type_BUFGCTRL.json' -> `./himbaechel/uarch/xilinx/meta/zynq7/site_type_BUFGCTRL.json' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/himbaechel/uarch/xilinx/meta/zynq7/site_type_USR_ACCESS.json' -> `./himbaechel/uarch/xilinx/meta/zynq7/site_type_USR_ACCESS.json' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/himbaechel/uarch/xilinx/meta/zynq7/site_type_IDELAYE2.json' -> `./himbaechel/uarch/xilinx/meta/zynq7/site_type_IDELAYE2.json' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/himbaechel/uarch/xilinx/meta/zynq7/site_type_IOB33M.json' -> `./himbaechel/uarch/xilinx/meta/zynq7/site_type_IOB33M.json' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/himbaechel/uarch/xilinx/meta/zynq7/site_type_DNA_PORT.json' -> `./himbaechel/uarch/xilinx/meta/zynq7/site_type_DNA_PORT.json' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/himbaechel/uarch/xilinx/meta/zynq7/site_type_STARTUP.json' -> `./himbaechel/uarch/xilinx/meta/zynq7/site_type_STARTUP.json' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/himbaechel/uarch/xilinx/meta/spartan7/site_type_DCIRESET.json' -> `./himbaechel/uarch/xilinx/meta/spartan7/site_type_DCIRESET.json' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/himbaechel/uarch/xilinx/meta/spartan7/site_type_RAMBFIFO36E1.json' -> `./himbaechel/uarch/xilinx/meta/spartan7/site_type_RAMBFIFO36E1.json' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/himbaechel/uarch/xilinx/meta/spartan7/site_type_FIFO18E1.json' -> `./himbaechel/uarch/xilinx/meta/spartan7/site_type_FIFO18E1.json' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/himbaechel/uarch/xilinx/meta/spartan7/site_type_SLICEL.json' -> `./himbaechel/uarch/xilinx/meta/spartan7/site_type_SLICEL.json' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/himbaechel/uarch/xilinx/meta/spartan7/site_type_PLLE2_ADV.json' -> `./himbaechel/uarch/xilinx/meta/spartan7/site_type_PLLE2_ADV.json' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/himbaechel/uarch/xilinx/meta/spartan7/site_type_BUFHCE.json' -> `./himbaechel/uarch/xilinx/meta/spartan7/site_type_BUFHCE.json' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/himbaechel/uarch/xilinx/meta/spartan7/wire_intents.json' -> `./himbaechel/uarch/xilinx/meta/spartan7/wire_intents.json' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/himbaechel/uarch/xilinx/meta/spartan7/site_type_IOB33S.json' -> `./himbaechel/uarch/xilinx/meta/spartan7/site_type_IOB33S.json' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/himbaechel/uarch/xilinx/meta/spartan7/site_type_BUFIO.json' -> `./himbaechel/uarch/xilinx/meta/spartan7/site_type_BUFIO.json' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/himbaechel/uarch/xilinx/meta/spartan7/site_type_RAMB18E1.json' -> `./himbaechel/uarch/xilinx/meta/spartan7/site_type_RAMB18E1.json' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/himbaechel/uarch/xilinx/meta/spartan7/site_type_IOB33.json' -> `./himbaechel/uarch/xilinx/meta/spartan7/site_type_IOB33.json' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/himbaechel/uarch/xilinx/meta/spartan7/site_type_DSP48E1.json' -> `./himbaechel/uarch/xilinx/meta/spartan7/site_type_DSP48E1.json' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/himbaechel/uarch/xilinx/meta/spartan7/site_type_OLOGICE3.json' -> `./himbaechel/uarch/xilinx/meta/spartan7/site_type_OLOGICE3.json' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/himbaechel/uarch/xilinx/meta/spartan7/site_type_SLICEM.json' -> `./himbaechel/uarch/xilinx/meta/spartan7/site_type_SLICEM.json' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/himbaechel/uarch/xilinx/meta/spartan7/site_type_EFUSE_USR.json' -> `./himbaechel/uarch/xilinx/meta/spartan7/site_type_EFUSE_USR.json' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/himbaechel/uarch/xilinx/meta/spartan7/site_type_ILOGICE3.json' -> `./himbaechel/uarch/xilinx/meta/spartan7/site_type_ILOGICE3.json' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/himbaechel/uarch/xilinx/meta/spartan7/site_type_IDELAYCTRL.json' -> `./himbaechel/uarch/xilinx/meta/spartan7/site_type_IDELAYCTRL.json' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/himbaechel/uarch/xilinx/meta/spartan7/site_type_FRAME_ECC.json' -> `./himbaechel/uarch/xilinx/meta/spartan7/site_type_FRAME_ECC.json' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/himbaechel/uarch/xilinx/meta/spartan7/site_type_ICAP.json' -> `./himbaechel/uarch/xilinx/meta/spartan7/site_type_ICAP.json' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/himbaechel/uarch/xilinx/meta/spartan7/site_type_BSCAN.json' -> `./himbaechel/uarch/xilinx/meta/spartan7/site_type_BSCAN.json' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/himbaechel/uarch/xilinx/meta/spartan7/site_type_BUFGCTRL.json' -> `./himbaechel/uarch/xilinx/meta/spartan7/site_type_BUFGCTRL.json' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/himbaechel/uarch/xilinx/meta/spartan7/site_type_USR_ACCESS.json' -> `./himbaechel/uarch/xilinx/meta/spartan7/site_type_USR_ACCESS.json' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/himbaechel/uarch/xilinx/meta/spartan7/site_type_IDELAYE2.json' -> `./himbaechel/uarch/xilinx/meta/spartan7/site_type_IDELAYE2.json' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/himbaechel/uarch/xilinx/meta/spartan7/site_type_IOB33M.json' -> `./himbaechel/uarch/xilinx/meta/spartan7/site_type_IOB33M.json' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/himbaechel/uarch/xilinx/meta/spartan7/site_type_DNA_PORT.json' -> `./himbaechel/uarch/xilinx/meta/spartan7/site_type_DNA_PORT.json' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/himbaechel/uarch/xilinx/meta/spartan7/site_type_STARTUP.json' -> `./himbaechel/uarch/xilinx/meta/spartan7/site_type_STARTUP.json' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/himbaechel/uarch/xilinx/meta/artix7/site_type_DCIRESET.json' -> `./himbaechel/uarch/xilinx/meta/artix7/site_type_DCIRESET.json' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/himbaechel/uarch/xilinx/meta/artix7/site_type_RAMBFIFO36E1.json' -> `./himbaechel/uarch/xilinx/meta/artix7/site_type_RAMBFIFO36E1.json' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/himbaechel/uarch/xilinx/meta/artix7/site_type_FIFO18E1.json' -> `./himbaechel/uarch/xilinx/meta/artix7/site_type_FIFO18E1.json' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/himbaechel/uarch/xilinx/meta/artix7/site_type_SLICEL.json' -> `./himbaechel/uarch/xilinx/meta/artix7/site_type_SLICEL.json' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/himbaechel/uarch/xilinx/meta/artix7/site_type_PLLE2_ADV.json' -> `./himbaechel/uarch/xilinx/meta/artix7/site_type_PLLE2_ADV.json' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/himbaechel/uarch/xilinx/meta/artix7/site_type_BUFHCE.json' -> `./himbaechel/uarch/xilinx/meta/artix7/site_type_BUFHCE.json' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/himbaechel/uarch/xilinx/meta/artix7/wire_intents.json' -> `./himbaechel/uarch/xilinx/meta/artix7/wire_intents.json' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/himbaechel/uarch/xilinx/meta/artix7/site_type_IOB33S.json' -> `./himbaechel/uarch/xilinx/meta/artix7/site_type_IOB33S.json' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/himbaechel/uarch/xilinx/meta/artix7/site_type_BUFIO.json' -> `./himbaechel/uarch/xilinx/meta/artix7/site_type_BUFIO.json' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/himbaechel/uarch/xilinx/meta/artix7/site_type_RAMB18E1.json' -> `./himbaechel/uarch/xilinx/meta/artix7/site_type_RAMB18E1.json' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/himbaechel/uarch/xilinx/meta/artix7/site_type_IOB33.json' -> `./himbaechel/uarch/xilinx/meta/artix7/site_type_IOB33.json' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/himbaechel/uarch/xilinx/meta/artix7/site_type_DSP48E1.json' -> `./himbaechel/uarch/xilinx/meta/artix7/site_type_DSP48E1.json' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/himbaechel/uarch/xilinx/meta/artix7/site_type_OLOGICE3.json' -> `./himbaechel/uarch/xilinx/meta/artix7/site_type_OLOGICE3.json' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/himbaechel/uarch/xilinx/meta/artix7/site_type_SLICEM.json' -> `./himbaechel/uarch/xilinx/meta/artix7/site_type_SLICEM.json' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/himbaechel/uarch/xilinx/meta/artix7/site_type_EFUSE_USR.json' -> `./himbaechel/uarch/xilinx/meta/artix7/site_type_EFUSE_USR.json' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/himbaechel/uarch/xilinx/meta/artix7/site_type_ILOGICE3.json' -> `./himbaechel/uarch/xilinx/meta/artix7/site_type_ILOGICE3.json' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/himbaechel/uarch/xilinx/meta/artix7/site_type_IDELAYCTRL.json' -> `./himbaechel/uarch/xilinx/meta/artix7/site_type_IDELAYCTRL.json' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/himbaechel/uarch/xilinx/meta/artix7/site_type_FRAME_ECC.json' -> `./himbaechel/uarch/xilinx/meta/artix7/site_type_FRAME_ECC.json' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/himbaechel/uarch/xilinx/meta/artix7/site_type_ICAP.json' -> `./himbaechel/uarch/xilinx/meta/artix7/site_type_ICAP.json' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/himbaechel/uarch/xilinx/meta/artix7/site_type_BSCAN.json' -> `./himbaechel/uarch/xilinx/meta/artix7/site_type_BSCAN.json' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/himbaechel/uarch/xilinx/meta/artix7/site_type_BUFGCTRL.json' -> `./himbaechel/uarch/xilinx/meta/artix7/site_type_BUFGCTRL.json' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/himbaechel/uarch/xilinx/meta/artix7/site_type_USR_ACCESS.json' -> `./himbaechel/uarch/xilinx/meta/artix7/site_type_USR_ACCESS.json' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/himbaechel/uarch/xilinx/meta/artix7/site_type_IDELAYE2.json' -> `./himbaechel/uarch/xilinx/meta/artix7/site_type_IDELAYE2.json' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/himbaechel/uarch/xilinx/meta/artix7/site_type_IOB33M.json' -> `./himbaechel/uarch/xilinx/meta/artix7/site_type_IOB33M.json' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/himbaechel/uarch/xilinx/meta/artix7/site_type_DNA_PORT.json' -> `./himbaechel/uarch/xilinx/meta/artix7/site_type_DNA_PORT.json' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/himbaechel/uarch/xilinx/meta/artix7/site_type_STARTUP.json' -> `./himbaechel/uarch/xilinx/meta/artix7/site_type_STARTUP.json' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/himbaechel/uarch/xilinx/examples/.gitignore' -> `./himbaechel/uarch/xilinx/examples/.gitignore' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/himbaechel/uarch/xilinx/examples/bitgen_xray.sh' -> `./himbaechel/uarch/xilinx/examples/bitgen_xray.sh' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/himbaechel/uarch/xilinx/examples/arty-a35/blinky.v' -> `./himbaechel/uarch/xilinx/examples/arty-a35/blinky.v' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/himbaechel/uarch/xilinx/examples/arty-a35/blinky.sh' -> `./himbaechel/uarch/xilinx/examples/arty-a35/blinky.sh' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/himbaechel/uarch/xilinx/examples/arty-a35/arty.xdc' -> `./himbaechel/uarch/xilinx/examples/arty-a35/arty.xdc' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/himbaechel/uarch/example/example.cc' -> `./himbaechel/uarch/example/example.cc' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/himbaechel/uarch/example/blinky.v' -> `./himbaechel/uarch/example/blinky.v' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/himbaechel/uarch/example/gfxids.inc' -> `./himbaechel/uarch/example/gfxids.inc' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/himbaechel/uarch/example/example_arch_gen.py' -> `./himbaechel/uarch/example/example_arch_gen.py' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/himbaechel/uarch/example/constids.inc' -> `./himbaechel/uarch/example/constids.inc' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/himbaechel/uarch/example/CMakeLists.txt' -> `./himbaechel/uarch/example/CMakeLists.txt' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/himbaechel/uarch/ng-ultra/pack.h' -> `./himbaechel/uarch/ng-ultra/pack.h' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/himbaechel/uarch/ng-ultra/ng_ultra.cc' -> `./himbaechel/uarch/ng-ultra/ng_ultra.cc' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/himbaechel/uarch/ng-ultra/bitstream.cc' -> `./himbaechel/uarch/ng-ultra/bitstream.cc' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/himbaechel/uarch/ng-ultra/csv.cc' -> `./himbaechel/uarch/ng-ultra/csv.cc' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/himbaechel/uarch/ng-ultra/location_map.cc' -> `./himbaechel/uarch/ng-ultra/location_map.cc' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/himbaechel/uarch/ng-ultra/location_map.h' -> `./himbaechel/uarch/ng-ultra/location_map.h' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/himbaechel/uarch/ng-ultra/constids.inc' -> `./himbaechel/uarch/ng-ultra/constids.inc' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/himbaechel/uarch/ng-ultra/ng_ultra.h' -> `./himbaechel/uarch/ng-ultra/ng_ultra.h' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/himbaechel/uarch/ng-ultra/cells.cc' -> `./himbaechel/uarch/ng-ultra/cells.cc' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/himbaechel/uarch/ng-ultra/CMakeLists.txt' -> `./himbaechel/uarch/ng-ultra/CMakeLists.txt' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/himbaechel/uarch/ng-ultra/extra_data.h' -> `./himbaechel/uarch/ng-ultra/extra_data.h' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/himbaechel/uarch/ng-ultra/pack.cc' -> `./himbaechel/uarch/ng-ultra/pack.cc' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/himbaechel/uarch/ng-ultra/gen/arch_gen.py' -> `./himbaechel/uarch/ng-ultra/gen/arch_gen.py' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/himbaechel/uarch/ng-ultra/tests/main.cc' -> `./himbaechel/uarch/ng-ultra/tests/main.cc' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/himbaechel/uarch/ng-ultra/tests/lut_dff.cc' -> `./himbaechel/uarch/ng-ultra/tests/lut_dff.cc' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/himbaechel/uarch/gowin/pack.h' -> `./himbaechel/uarch/gowin/pack.h' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/himbaechel/uarch/gowin/gowin.h' -> `./himbaechel/uarch/gowin/gowin.h' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/himbaechel/uarch/gowin/gowin_arch_gen.py' -> `./himbaechel/uarch/gowin/gowin_arch_gen.py' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/himbaechel/uarch/gowin/gowin_utils.h' -> `./himbaechel/uarch/gowin/gowin_utils.h' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/himbaechel/uarch/gowin/constids.inc' -> `./himbaechel/uarch/gowin/constids.inc' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/himbaechel/uarch/gowin/gowin.cc' -> `./himbaechel/uarch/gowin/gowin.cc' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/himbaechel/uarch/gowin/cst.cc' -> `./himbaechel/uarch/gowin/cst.cc' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/himbaechel/uarch/gowin/globals.h' -> `./himbaechel/uarch/gowin/globals.h' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/himbaechel/uarch/gowin/cst.h' -> `./himbaechel/uarch/gowin/cst.h' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/himbaechel/uarch/gowin/gowin_utils.cc' -> `./himbaechel/uarch/gowin/gowin_utils.cc' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/himbaechel/uarch/gowin/globals.cc' -> `./himbaechel/uarch/gowin/globals.cc' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/himbaechel/uarch/gowin/CMakeLists.txt' -> `./himbaechel/uarch/gowin/CMakeLists.txt' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/himbaechel/uarch/gowin/pack.cc' -> `./himbaechel/uarch/gowin/pack.cc' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/himbaechel/uarch/gatemate/pack.h' -> `./himbaechel/uarch/gatemate/pack.h' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/himbaechel/uarch/gatemate/bitstream.cc' -> `./himbaechel/uarch/gatemate/bitstream.cc' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/himbaechel/uarch/gatemate/gfx.cc' -> `./himbaechel/uarch/gatemate/gfx.cc' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/himbaechel/uarch/gatemate/pack_cpe.cc' -> `./himbaechel/uarch/gatemate/pack_cpe.cc' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/himbaechel/uarch/gatemate/route_mult.cc' -> `./himbaechel/uarch/gatemate/route_mult.cc' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/himbaechel/uarch/gatemate/pack_io.cc' -> `./himbaechel/uarch/gatemate/pack_io.cc' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/himbaechel/uarch/gatemate/gatemate.cc' -> `./himbaechel/uarch/gatemate/gatemate.cc' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/himbaechel/uarch/gatemate/ccf.cc' -> `./himbaechel/uarch/gatemate/ccf.cc' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/himbaechel/uarch/gatemate/config.h' -> `./himbaechel/uarch/gatemate/config.h' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/himbaechel/uarch/gatemate/pack_clocking.cc' -> `./himbaechel/uarch/gatemate/pack_clocking.cc' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/himbaechel/uarch/gatemate/gfxids.inc' -> `./himbaechel/uarch/gatemate/gfxids.inc' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/himbaechel/uarch/gatemate/constids.inc' -> `./himbaechel/uarch/gatemate/constids.inc' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/himbaechel/uarch/gatemate/config.cc' -> `./himbaechel/uarch/gatemate/config.cc' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/himbaechel/uarch/gatemate/gatemate.h' -> `./himbaechel/uarch/gatemate/gatemate.h' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/himbaechel/uarch/gatemate/pack_bram.cc' -> `./himbaechel/uarch/gatemate/pack_bram.cc' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/himbaechel/uarch/gatemate/cells.cc' -> `./himbaechel/uarch/gatemate/cells.cc' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/himbaechel/uarch/gatemate/pll.cc' -> `./himbaechel/uarch/gatemate/pll.cc' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/himbaechel/uarch/gatemate/pack_serdes.cc' -> `./himbaechel/uarch/gatemate/pack_serdes.cc' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/himbaechel/uarch/gatemate/route_clock.cc' -> `./himbaechel/uarch/gatemate/route_clock.cc' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/himbaechel/uarch/gatemate/pack_mult.cc' -> `./himbaechel/uarch/gatemate/pack_mult.cc' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/himbaechel/uarch/gatemate/delay.cc' -> `./himbaechel/uarch/gatemate/delay.cc' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/himbaechel/uarch/gatemate/CMakeLists.txt' -> `./himbaechel/uarch/gatemate/CMakeLists.txt' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/himbaechel/uarch/gatemate/gatemate_util.h' -> `./himbaechel/uarch/gatemate/gatemate_util.h' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/himbaechel/uarch/gatemate/extra_data.h' -> `./himbaechel/uarch/gatemate/extra_data.h' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/himbaechel/uarch/gatemate/pack.cc' -> `./himbaechel/uarch/gatemate/pack.cc' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/himbaechel/uarch/gatemate/gen/arch_gen.py' -> `./himbaechel/uarch/gatemate/gen/arch_gen.py' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/himbaechel/uarch/gatemate/tests/testing.h' -> `./himbaechel/uarch/gatemate/tests/testing.h' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/himbaechel/uarch/gatemate/tests/main.cc' -> `./himbaechel/uarch/gatemate/tests/main.cc' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/himbaechel/uarch/gatemate/tests/testing.cc' -> `./himbaechel/uarch/gatemate/tests/testing.cc' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/himbaechel/uarch/gatemate/tests/lut.cc' -> `./himbaechel/uarch/gatemate/tests/lut.cc' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/himbaechel/himbaechel_dbgen/chip.py' -> `./himbaechel/himbaechel_dbgen/chip.py' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/himbaechel/himbaechel_dbgen/bba.py' -> `./himbaechel/himbaechel_dbgen/bba.py' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/machxo2/README.md' -> `./machxo2/README.md' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/machxo2/arch_pybindings.cc' -> `./machxo2/arch_pybindings.cc' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/machxo2/.gitignore' -> `./machxo2/.gitignore' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/machxo2/bitstream.cc' -> `./machxo2/bitstream.cc' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/machxo2/gfx.cc' -> `./machxo2/gfx.cc' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/machxo2/baseconfigs.cc' -> `./machxo2/baseconfigs.cc' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/machxo2/main.cc' -> `./machxo2/main.cc' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/machxo2/arch_pybindings.h' -> `./machxo2/arch_pybindings.h' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/machxo2/cells.h' -> `./machxo2/cells.h' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/machxo2/arch.h' -> `./machxo2/arch.h' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/machxo2/config.h' -> `./machxo2/config.h' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/machxo2/gfx.h' -> `./machxo2/gfx.h' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/machxo2/arch.cc' -> `./machxo2/arch.cc' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/machxo2/constids.inc' -> `./machxo2/constids.inc' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/machxo2/config.cc' -> `./machxo2/config.cc' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/machxo2/facade_import.py' -> `./machxo2/facade_import.py' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/machxo2/bitstream.h' -> `./machxo2/bitstream.h' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/machxo2/cells.cc' -> `./machxo2/cells.cc' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/machxo2/machxo2_available.h.in' -> `./machxo2/machxo2_available.h.in' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/machxo2/lpf.cc' -> `./machxo2/lpf.cc' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/machxo2/archdefs.h' -> `./machxo2/archdefs.h' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/machxo2/globals.cc' -> `./machxo2/globals.cc' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/machxo2/CMakeLists.txt' -> `./machxo2/CMakeLists.txt' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/machxo2/arch_place.cc' -> `./machxo2/arch_place.cc' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/machxo2/pack.cc' -> `./machxo2/pack.cc' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/machxo2/examples/README.md' -> `./machxo2/examples/README.md' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/machxo2/examples/prims.vhd' -> `./machxo2/examples/prims.vhd' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/machxo2/examples/mitertest.sh' -> `./machxo2/examples/mitertest.sh' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/machxo2/examples/.gitignore' -> `./machxo2/examples/.gitignore' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/machxo2/examples/blinky.v' -> `./machxo2/examples/blinky.v' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/machxo2/examples/simple.sh' -> `./machxo2/examples/simple.sh' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/machxo2/examples/demo-vhdl.sh' -> `./machxo2/examples/demo-vhdl.sh' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/machxo2/examples/blinky_tb.v' -> `./machxo2/examples/blinky_tb.v' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/machxo2/examples/tinyfpga.vhd' -> `./machxo2/examples/tinyfpga.vhd' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/machxo2/examples/uart.v' -> `./machxo2/examples/uart.v' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/machxo2/examples/demo.sh' -> `./machxo2/examples/demo.sh' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/machxo2/examples/tinyfpga.v' -> `./machxo2/examples/tinyfpga.v' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/machxo2/examples/blinky_ext.v' -> `./machxo2/examples/blinky_ext.v' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/machxo2/examples/rgbcount.v' -> `./machxo2/examples/rgbcount.v' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/machxo2/examples/simtest.sh' -> `./machxo2/examples/simtest.sh' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/docs/faq.md' -> `./docs/faq.md' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/docs/himbaechel.md' -> `./docs/himbaechel.md' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/docs/archapi.md' -> `./docs/archapi.md' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/docs/nexus.md' -> `./docs/nexus.md' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/docs/viaduct.md' -> `./docs/viaduct.md' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/docs/coding.md' -> `./docs/coding.md' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/docs/python.md' -> `./docs/python.md' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/docs/netlist.md' -> `./docs/netlist.md' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/docs/constraints.md' -> `./docs/constraints.md' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/docs/generic.md' -> `./docs/generic.md' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/docs/report.md' -> `./docs/report.md' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/docs/ice40.md' -> `./docs/ice40.md' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/rust/.gitignore' -> `./rust/.gitignore' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/rust/rust.h' -> `./rust/rust.h' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/rust/Cargo.toml' -> `./rust/Cargo.toml' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/rust/CMakeLists.txt' -> `./rust/CMakeLists.txt' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/rust/rust.cc' -> `./rust/rust.cc' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/rust/example_printnets/Cargo.toml' -> `./rust/example_printnets/Cargo.toml' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/rust/example_printnets/src/lib.rs' -> `./rust/example_printnets/src/lib.rs' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/rust/nextpnr/Cargo.toml' -> `./rust/nextpnr/Cargo.toml' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/rust/nextpnr/src/lib.rs' -> `./rust/nextpnr/src/lib.rs' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/bba/README.md' -> `./bba/README.md' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/bba/main.cc' -> `./bba/main.cc' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/bba/CMakeLists.txt' -> `./bba/CMakeLists.txt' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/generic/arch_pybindings.cc' -> `./generic/arch_pybindings.cc' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/generic/main.cc' -> `./generic/main.cc' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/generic/arch_pybindings.h' -> `./generic/arch_pybindings.h' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/generic/cells.h' -> `./generic/cells.h' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/generic/arch.h' -> `./generic/arch.h' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/generic/viaduct_helpers.cc' -> `./generic/viaduct_helpers.cc' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/generic/viaduct_api.cc' -> `./generic/viaduct_api.cc' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/generic/viaduct_constids.h' -> `./generic/viaduct_constids.h' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/generic/viaduct_helpers.h' -> `./generic/viaduct_helpers.h' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/generic/chipdb.cc' -> `./generic/chipdb.cc' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/generic/arch.cc' -> `./generic/arch.cc' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/generic/cells.cc' -> `./generic/cells.cc' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/generic/viaduct_api.h' -> `./generic/viaduct_api.h' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/generic/archdefs.h' -> `./generic/archdefs.h' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/generic/CMakeLists.txt' -> `./generic/CMakeLists.txt' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/generic/pack.cc' -> `./generic/pack.cc' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/generic/synth/prims.v' -> `./generic/synth/prims.v' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/generic/synth/synth_generic.tcl' -> `./generic/synth/synth_generic.tcl' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/generic/synth/cells_map.v' -> `./generic/synth/cells_map.v' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/generic/examples/README.md' -> `./generic/examples/README.md' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/generic/examples/.gitignore' -> `./generic/examples/.gitignore' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/generic/examples/blinky.v' -> `./generic/examples/blinky.v' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/generic/examples/simple.sh' -> `./generic/examples/simple.sh' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/generic/examples/simple_timing.py' -> `./generic/examples/simple_timing.py' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/generic/examples/blinky_tb.v' -> `./generic/examples/blinky_tb.v' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/generic/examples/__init__.py' -> `./generic/examples/__init__.py' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/generic/examples/bitstream.py' -> `./generic/examples/bitstream.py' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/generic/examples/simple.py' -> `./generic/examples/simple.py' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/generic/examples/write_fasm.py' -> `./generic/examples/write_fasm.py' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/generic/examples/simtest.sh' -> `./generic/examples/simtest.sh' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/generic/examples/simple_config.py' -> `./generic/examples/simple_config.py' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/generic/viaduct/example/example.cc' -> `./generic/viaduct/example/example.cc' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/generic/viaduct/example/viaduct_example.sh' -> `./generic/viaduct/example/viaduct_example.sh' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/generic/viaduct/example/.gitignore' -> `./generic/viaduct/example/.gitignore' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/generic/viaduct/example/example_prims.v' -> `./generic/viaduct/example/example_prims.v' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/generic/viaduct/example/example_map.v' -> `./generic/viaduct/example/example_map.v' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/generic/viaduct/example/constids.inc' -> `./generic/viaduct/example/constids.inc' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/generic/viaduct/example/synth_viaduct_example.tcl' -> `./generic/viaduct/example/synth_viaduct_example.tcl' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/generic/viaduct/fabulous/pack.h' -> `./generic/viaduct/fabulous/pack.h' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/generic/viaduct/fabulous/fabric_parsing.h' -> `./generic/viaduct/fabulous/fabric_parsing.h' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/generic/viaduct/fabulous/fasm.h' -> `./generic/viaduct/fabulous/fasm.h' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/generic/viaduct/fabulous/constids.inc' -> `./generic/viaduct/fabulous/constids.inc' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/generic/viaduct/fabulous/fab_defs.h' -> `./generic/viaduct/fabulous/fab_defs.h' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/generic/viaduct/fabulous/validity_check.h' -> `./generic/viaduct/fabulous/validity_check.h' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/generic/viaduct/fabulous/fasm.cc' -> `./generic/viaduct/fabulous/fasm.cc' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/generic/viaduct/fabulous/validity_check.cc' -> `./generic/viaduct/fabulous/validity_check.cc' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/generic/viaduct/fabulous/fabulous.cc' -> `./generic/viaduct/fabulous/fabulous.cc' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/generic/viaduct/fabulous/fab_cfg.h' -> `./generic/viaduct/fabulous/fab_cfg.h' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/generic/viaduct/fabulous/pack.cc' -> `./generic/viaduct/fabulous/pack.cc' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/ecp5/arch_pybindings.cc' -> `./ecp5/arch_pybindings.cc' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/ecp5/.gitignore' -> `./ecp5/.gitignore' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/ecp5/bitstream.cc' -> `./ecp5/bitstream.cc' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/ecp5/gfx.cc' -> `./ecp5/gfx.cc' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/ecp5/pio.cc' -> `./ecp5/pio.cc' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/ecp5/baseconfigs.cc' -> `./ecp5/baseconfigs.cc' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/ecp5/main.cc' -> `./ecp5/main.cc' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/ecp5/arch_pybindings.h' -> `./ecp5/arch_pybindings.h' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/ecp5/cells.h' -> `./ecp5/cells.h' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/ecp5/arch.h' -> `./ecp5/arch.h' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/ecp5/config.h' -> `./ecp5/config.h' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/ecp5/gfx.h' -> `./ecp5/gfx.h' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/ecp5/arch.cc' -> `./ecp5/arch.cc' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/ecp5/constids.inc' -> `./ecp5/constids.inc' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/ecp5/config.cc' -> `./ecp5/config.cc' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/ecp5/bitstream.h' -> `./ecp5/bitstream.h' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/ecp5/cells.cc' -> `./ecp5/cells.cc' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/ecp5/globals.h' -> `./ecp5/globals.h' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/ecp5/lpf.cc' -> `./ecp5/lpf.cc' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/ecp5/iotypes.inc' -> `./ecp5/iotypes.inc' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/ecp5/archdefs.h' -> `./ecp5/archdefs.h' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/ecp5/dcu_bitstream.h' -> `./ecp5/dcu_bitstream.h' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/ecp5/globals.cc' -> `./ecp5/globals.cc' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/ecp5/CMakeLists.txt' -> `./ecp5/CMakeLists.txt' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/ecp5/arch_place.cc' -> `./ecp5/arch_place.cc' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/ecp5/pio.h' -> `./ecp5/pio.h' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/ecp5/pack.cc' -> `./ecp5/pack.cc' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/ecp5/trellis_import.py' -> `./ecp5/trellis_import.py' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/ecp5/docs/primitives.md' -> `./ecp5/docs/primitives.md' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/nexus/arch_pybindings.cc' -> `./nexus/arch_pybindings.cc' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/nexus/.gitignore' -> `./nexus/.gitignore' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/nexus/bba_version.inc' -> `./nexus/bba_version.inc' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/nexus/main.cc' -> `./nexus/main.cc' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/nexus/arch_pybindings.h' -> `./nexus/arch_pybindings.h' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/nexus/arch.h' -> `./nexus/arch.h' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/nexus/pdc.cc' -> `./nexus/pdc.cc' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/nexus/arch.cc' -> `./nexus/arch.cc' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/nexus/constids.inc' -> `./nexus/constids.inc' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/nexus/post_place.cc' -> `./nexus/post_place.cc' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/nexus/io.cc' -> `./nexus/io.cc' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/nexus/fasm.cc' -> `./nexus/fasm.cc' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/nexus/pins.cc' -> `./nexus/pins.cc' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/nexus/archdefs.h' -> `./nexus/archdefs.h' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/nexus/CMakeLists.txt' -> `./nexus/CMakeLists.txt' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/nexus/arch_place.cc' -> `./nexus/arch_place.cc' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/nexus/global.cc' -> `./nexus/global.cc' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/nexus/pack.cc' -> `./nexus/pack.cc' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/gui/application.h' -> `./gui/application.h' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/gui/pyconsole.h' -> `./gui/pyconsole.h' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/gui/line_editor.cc' -> `./gui/line_editor.cc' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/gui/basewindow.h' -> `./gui/basewindow.h' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/gui/base.qrc' -> `./gui/base.qrc' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/gui/lineshader.cc' -> `./gui/lineshader.cc' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/gui/designwidget.cc' -> `./gui/designwidget.cc' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/gui/quadtree.h' -> `./gui/quadtree.h' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/gui/basewindow.cc' -> `./gui/basewindow.cc' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/gui/treemodel.cc' -> `./gui/treemodel.cc' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/gui/worker.cc' -> `./gui/worker.cc' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/gui/pyconsole.cc' -> `./gui/pyconsole.cc' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/gui/application.cc' -> `./gui/application.cc' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/gui/worker.h' -> `./gui/worker.h' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/gui/designwidget.h' -> `./gui/designwidget.h' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/gui/pythontab.h' -> `./gui/pythontab.h' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/gui/line_editor.h' -> `./gui/line_editor.h' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/gui/treemodel.h' -> `./gui/treemodel.h' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/gui/pythontab.cc' -> `./gui/pythontab.cc' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/gui/create_img.sh' -> `./gui/create_img.sh' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/gui/lineshader.h' -> `./gui/lineshader.h' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/gui/fpgaviewwidget.h' -> `./gui/fpgaviewwidget.h' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/gui/CMakeLists.txt' -> `./gui/CMakeLists.txt' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/gui/fpgaviewwidget.cc' -> `./gui/fpgaviewwidget.cc' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/gui/mistral/mainwindow.cc' -> `./gui/mistral/mainwindow.cc' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/gui/mistral/mainwindow.h' -> `./gui/mistral/mainwindow.h' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/gui/mistral/nextpnr.qrc' -> `./gui/mistral/nextpnr.qrc' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/gui/fpga_interchange/mainwindow.cc' -> `./gui/fpga_interchange/mainwindow.cc' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/gui/fpga_interchange/mainwindow.h' -> `./gui/fpga_interchange/mainwindow.h' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/gui/fpga_interchange/nextpnr.qrc' -> `./gui/fpga_interchange/nextpnr.qrc' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/gui/himbaechel/mainwindow.cc' -> `./gui/himbaechel/mainwindow.cc' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/gui/himbaechel/mainwindow.h' -> `./gui/himbaechel/mainwindow.h' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/gui/himbaechel/nextpnr.qrc' -> `./gui/himbaechel/nextpnr.qrc' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/gui/machxo2/mainwindow.cc' -> `./gui/machxo2/mainwindow.cc' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/gui/machxo2/mainwindow.h' -> `./gui/machxo2/mainwindow.h' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/gui/machxo2/nextpnr.qrc' -> `./gui/machxo2/nextpnr.qrc' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/gui/machxo2/resources/save_config.png' -> `./gui/machxo2/resources/save_config.png' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/gui/machxo2/resources/open_base.png' -> `./gui/machxo2/resources/open_base.png' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/gui/machxo2/resources/open_lpf.png' -> `./gui/machxo2/resources/open_lpf.png' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/gui/generic/mainwindow.cc' -> `./gui/generic/mainwindow.cc' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/gui/generic/mainwindow.h' -> `./gui/generic/mainwindow.h' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/gui/generic/nextpnr.qrc' -> `./gui/generic/nextpnr.qrc' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/gui/ecp5/mainwindow.cc' -> `./gui/ecp5/mainwindow.cc' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/gui/ecp5/mainwindow.h' -> `./gui/ecp5/mainwindow.h' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/gui/ecp5/nextpnr.qrc' -> `./gui/ecp5/nextpnr.qrc' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/gui/ecp5/resources/save_config.png' -> `./gui/ecp5/resources/save_config.png' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/gui/ecp5/resources/open_base.png' -> `./gui/ecp5/resources/open_base.png' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/gui/ecp5/resources/open_lpf.png' -> `./gui/ecp5/resources/open_lpf.png' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/gui/nexus/mainwindow.cc' -> `./gui/nexus/mainwindow.cc' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/gui/nexus/mainwindow.h' -> `./gui/nexus/mainwindow.h' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/gui/nexus/nextpnr.qrc' -> `./gui/nexus/nextpnr.qrc' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/gui/ice40/mainwindow.cc' -> `./gui/ice40/mainwindow.cc' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/gui/ice40/mainwindow.h' -> `./gui/ice40/mainwindow.h' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/gui/ice40/nextpnr.qrc' -> `./gui/ice40/nextpnr.qrc' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/gui/ice40/resources/open_pcf.png' -> `./gui/ice40/resources/open_pcf.png' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/gui/ice40/resources/save_asc.png' -> `./gui/ice40/resources/save_asc.png' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/gui/resources/pip.png' -> `./gui/resources/pip.png' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/gui/resources/save.png' -> `./gui/resources/save.png' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/gui/resources/time_add.png' -> `./gui/resources/time_add.png' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/gui/resources/route.png' -> `./gui/resources/route.png' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/gui/resources/save_json.png' -> `./gui/resources/save_json.png' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/gui/resources/new.png' -> `./gui/resources/new.png' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/gui/resources/resultset_last.png' -> `./gui/resources/resultset_last.png' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/gui/resources/bel.png' -> `./gui/resources/bel.png' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/gui/resources/open_json.png' -> `./gui/resources/open_json.png' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/gui/resources/control_pause.png' -> `./gui/resources/control_pause.png' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/gui/resources/save_svg.png' -> `./gui/resources/save_svg.png' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/gui/resources/open.png' -> `./gui/resources/open.png' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/gui/resources/control_stop.png' -> `./gui/resources/control_stop.png' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/gui/resources/exit.png' -> `./gui/resources/exit.png' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/gui/resources/resultset_first.png' -> `./gui/resources/resultset_first.png' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/gui/resources/wire.png' -> `./gui/resources/wire.png' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/gui/resources/pack.png' -> `./gui/resources/pack.png' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/gui/resources/py.png' -> `./gui/resources/py.png' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/gui/resources/shape_square.png' -> `./gui/resources/shape_square.png' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/gui/resources/zoom_in.png' -> `./gui/resources/zoom_in.png' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/gui/resources/camera.png' -> `./gui/resources/camera.png' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/gui/resources/place.png' -> `./gui/resources/place.png' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/gui/resources/resultset_previous.png' -> `./gui/resources/resultset_previous.png' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/gui/resources/shape_handles.png' -> `./gui/resources/shape_handles.png' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/gui/resources/cross.png' -> `./gui/resources/cross.png' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/gui/resources/zoom_out.png' -> `./gui/resources/zoom_out.png' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/gui/resources/control_play.png' -> `./gui/resources/control_play.png' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/gui/resources/group.png' -> `./gui/resources/group.png' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/gui/resources/resultset_next.png' -> `./gui/resources/resultset_next.png' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/gui/resources/film.png' -> `./gui/resources/film.png' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/gui/resources/zoom.png' -> `./gui/resources/zoom.png' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/.github/ci/build_generic.sh' -> `./.github/ci/build_generic.sh' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/.github/ci/build_machxo2.sh' -> `./.github/ci/build_machxo2.sh' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/.github/ci/build_mistral.sh' -> `./.github/ci/build_mistral.sh' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/.github/ci/build_ecp5.sh' -> `./.github/ci/build_ecp5.sh' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/.github/ci/build_nexus.sh' -> `./.github/ci/build_nexus.sh' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/.github/ci/build_rust.sh' -> `./.github/ci/build_rust.sh' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/.github/ci/build_ice40.sh' -> `./.github/ci/build_ice40.sh' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/.github/ci/build_common.sh' -> `./.github/ci/build_common.sh' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/.github/ci/build_himbaechel.sh' -> `./.github/ci/build_himbaechel.sh' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/.github/workflows/arch_ci.yml' -> `./.github/workflows/arch_ci.yml' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/common/version.h.in' -> `./common/version.h.in' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/common/CMakeLists.txt' -> `./common/CMakeLists.txt' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/common/place/placer_static.h' -> `./common/place/placer_static.h' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/common/place/placer1.cc' -> `./common/place/placer1.cc' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/common/place/detail_place_core.h' -> `./common/place/detail_place_core.h' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/common/place/place_common.h' -> `./common/place/place_common.h' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/common/place/placer1.h' -> `./common/place/placer1.h' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/common/place/timing_opt.cc' -> `./common/place/timing_opt.cc' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/common/place/detail_place_cfg.h' -> `./common/place/detail_place_cfg.h' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/common/place/placer_static.cc' -> `./common/place/placer_static.cc' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/common/place/parallel_refine.cc' -> `./common/place/parallel_refine.cc' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/common/place/parallel_refine.h' -> `./common/place/parallel_refine.h' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/common/place/detail_place_core.cc' -> `./common/place/detail_place_core.cc' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/common/place/fast_bels.h' -> `./common/place/fast_bels.h' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/common/place/place_common.cc' -> `./common/place/place_common.cc' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/common/place/timing_opt.h' -> `./common/place/timing_opt.h' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/common/place/static_util.h' -> `./common/place/static_util.h' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/common/place/CMakeLists.txt' -> `./common/place/CMakeLists.txt' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/common/place/placer_heap.h' -> `./common/place/placer_heap.h' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/common/place/placer_heap.cc' -> `./common/place/placer_heap.cc' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/common/route/router1.h' -> `./common/route/router1.h' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/common/route/router2.h' -> `./common/route/router2.h' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/common/route/CMakeLists.txt' -> `./common/route/CMakeLists.txt' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/common/route/router2.cc' -> `./common/route/router2.cc' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/common/route/router1.cc' -> `./common/route/router1.cc' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/common/kernel/array2d.h' -> `./common/kernel/array2d.h' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/common/kernel/log.h' -> `./common/kernel/log.h' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/common/kernel/hashlib.h' -> `./common/kernel/hashlib.h' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/common/kernel/basectx.h' -> `./common/kernel/basectx.h' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/common/kernel/idstring.cc' -> `./common/kernel/idstring.cc' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/common/kernel/idstring.h' -> `./common/kernel/idstring.h' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/common/kernel/nextpnr_namespaces.cc' -> `./common/kernel/nextpnr_namespaces.cc' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/common/kernel/property.h' -> `./common/kernel/property.h' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/common/kernel/embed.h' -> `./common/kernel/embed.h' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/common/kernel/archcheck.cc' -> `./common/kernel/archcheck.cc' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/common/kernel/pywrappers.h' -> `./common/kernel/pywrappers.h' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/common/kernel/dynamic_bitarray.h' -> `./common/kernel/dynamic_bitarray.h' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/common/kernel/relptr.h' -> `./common/kernel/relptr.h' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/common/kernel/embed.cc' -> `./common/kernel/embed.cc' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/common/kernel/handle_error.cc' -> `./common/kernel/handle_error.cc' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/common/kernel/constraints.h' -> `./common/kernel/constraints.h' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/common/kernel/timing_log.cc' -> `./common/kernel/timing_log.cc' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/common/kernel/idstringlist.h' -> `./common/kernel/idstringlist.h' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/common/kernel/str_ring_buffer.h' -> `./common/kernel/str_ring_buffer.h' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/common/kernel/report.cc' -> `./common/kernel/report.cc' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/common/kernel/timing.cc' -> `./common/kernel/timing.cc' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/common/kernel/bits.cc' -> `./common/kernel/bits.cc' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/common/kernel/idstringlist.cc' -> `./common/kernel/idstringlist.cc' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/common/kernel/pybindings.h' -> `./common/kernel/pybindings.h' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/common/kernel/pybindings.cc' -> `./common/kernel/pybindings.cc' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/common/kernel/sso_array.h' -> `./common/kernel/sso_array.h' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/common/kernel/scope_lock.h' -> `./common/kernel/scope_lock.h' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/common/kernel/arch_api.h' -> `./common/kernel/arch_api.h' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/common/kernel/nextpnr_namespaces.h' -> `./common/kernel/nextpnr_namespaces.h' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/common/kernel/basectx.cc' -> `./common/kernel/basectx.cc' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/common/kernel/property.cc' -> `./common/kernel/property.cc' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/common/kernel/base_arch.h' -> `./common/kernel/base_arch.h' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/common/kernel/timing.h' -> `./common/kernel/timing.h' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/common/kernel/nextpnr_types.cc' -> `./common/kernel/nextpnr_types.cc' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/common/kernel/exclusive_state_groups.h' -> `./common/kernel/exclusive_state_groups.h' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/common/kernel/command.cc' -> `./common/kernel/command.cc' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/common/kernel/deterministic_rng.h' -> `./common/kernel/deterministic_rng.h' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/common/kernel/nextpnr_assertions.cc' -> `./common/kernel/nextpnr_assertions.cc' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/common/kernel/constraints.impl.h' -> `./common/kernel/constraints.impl.h' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/common/kernel/sdf.cc' -> `./common/kernel/sdf.cc' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/common/kernel/pycontainers.h' -> `./common/kernel/pycontainers.h' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/common/kernel/log.cc' -> `./common/kernel/log.cc' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/common/kernel/svg.cc' -> `./common/kernel/svg.cc' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/common/kernel/str_ring_buffer.cc' -> `./common/kernel/str_ring_buffer.cc' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/common/kernel/context.cc' -> `./common/kernel/context.cc' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/common/kernel/nextpnr.cc' -> `./common/kernel/nextpnr.cc' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/common/kernel/chain_utils.h' -> `./common/kernel/chain_utils.h' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/common/kernel/indexed_store.h' -> `./common/kernel/indexed_store.h' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/common/kernel/context.h' -> `./common/kernel/context.h' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/common/kernel/arch_pybindings_shared.h' -> `./common/kernel/arch_pybindings_shared.h' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/common/kernel/design_utils.h' -> `./common/kernel/design_utils.h' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/common/kernel/util.h' -> `./common/kernel/util.h' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/common/kernel/nextpnr.h' -> `./common/kernel/nextpnr.h' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/common/kernel/nextpnr_base_types.h' -> `./common/kernel/nextpnr_base_types.h' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/common/kernel/nextpnr_types.h' -> `./common/kernel/nextpnr_types.h' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/common/kernel/exclusive_state_groups.impl.h' -> `./common/kernel/exclusive_state_groups.impl.h' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/common/kernel/sdc.cc' -> `./common/kernel/sdc.cc' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/common/kernel/CMakeLists.txt' -> `./common/kernel/CMakeLists.txt' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/common/kernel/nextpnr_assertions.h' -> `./common/kernel/nextpnr_assertions.h' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/common/kernel/base_clusterinfo.h' -> `./common/kernel/base_clusterinfo.h' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/common/kernel/design_utils.cc' -> `./common/kernel/design_utils.cc' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/common/kernel/bits.h' -> `./common/kernel/bits.h' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/common/kernel/command.h' -> `./common/kernel/command.h' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/3rdparty/QtPropertyBrowser/README.md' -> `./3rdparty/QtPropertyBrowser/README.md' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/3rdparty/QtPropertyBrowser/.gitignore' -> `./3rdparty/QtPropertyBrowser/.gitignore' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/3rdparty/QtPropertyBrowser/CMakeLists.txt' -> `./3rdparty/QtPropertyBrowser/CMakeLists.txt' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/3rdparty/QtPropertyBrowser/cmake/InstallSettings.cmake' -> `./3rdparty/QtPropertyBrowser/cmake/InstallSettings.cmake' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/3rdparty/QtPropertyBrowser/cmake/InstallProjectConfig.cmake' -> `./3rdparty/QtPropertyBrowser/cmake/InstallProjectConfig.cmake' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/3rdparty/QtPropertyBrowser/cmake/cmake_uninstall.cmake' -> `./3rdparty/QtPropertyBrowser/cmake/cmake_uninstall.cmake' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/3rdparty/QtPropertyBrowser/cmake/CommonCMakeUtils.cmake' -> `./3rdparty/QtPropertyBrowser/cmake/CommonCMakeUtils.cmake' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/3rdparty/QtPropertyBrowser/cmake/CompileSettings.cmake' -> `./3rdparty/QtPropertyBrowser/cmake/CompileSettings.cmake' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/3rdparty/QtPropertyBrowser/cmake/QtPropertyBrowserConfig.cmake.in' -> `./3rdparty/QtPropertyBrowser/cmake/QtPropertyBrowserConfig.cmake.in' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/3rdparty/QtPropertyBrowser/doc/images/qtpropertybrowser-duplicate.png' -> `./3rdparty/QtPropertyBrowser/doc/images/qtpropertybrowser-duplicate.png' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/3rdparty/QtPropertyBrowser/doc/images/qt-logo.png' -> `./3rdparty/QtPropertyBrowser/doc/images/qt-logo.png' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/3rdparty/QtPropertyBrowser/doc/images/simple.png' -> `./3rdparty/QtPropertyBrowser/doc/images/simple.png' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/3rdparty/QtPropertyBrowser/doc/images/decoration.png' -> `./3rdparty/QtPropertyBrowser/doc/images/decoration.png' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/3rdparty/QtPropertyBrowser/doc/images/object_controller.png' -> `./3rdparty/QtPropertyBrowser/doc/images/object_controller.png' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/3rdparty/QtPropertyBrowser/doc/images/canvas_variant.png' -> `./3rdparty/QtPropertyBrowser/doc/images/canvas_variant.png' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/3rdparty/QtPropertyBrowser/doc/images/qtbuttonpropertybrowser.png' -> `./3rdparty/QtPropertyBrowser/doc/images/qtbuttonpropertybrowser.png' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/3rdparty/QtPropertyBrowser/doc/images/demo.png' -> `./3rdparty/QtPropertyBrowser/doc/images/demo.png' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/3rdparty/QtPropertyBrowser/doc/images/qttreepropertybrowser.png' -> `./3rdparty/QtPropertyBrowser/doc/images/qttreepropertybrowser.png' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/3rdparty/QtPropertyBrowser/doc/images/qtgroupboxpropertybrowser.png' -> `./3rdparty/QtPropertyBrowser/doc/images/qtgroupboxpropertybrowser.png' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/3rdparty/QtPropertyBrowser/doc/images/qtpropertybrowser.png' -> `./3rdparty/QtPropertyBrowser/doc/images/qtpropertybrowser.png' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/3rdparty/QtPropertyBrowser/doc/images/canvas_typed.png' -> `./3rdparty/QtPropertyBrowser/doc/images/canvas_typed.png' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/3rdparty/QtPropertyBrowser/doc/images/extension.png' -> `./3rdparty/QtPropertyBrowser/doc/images/extension.png' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/3rdparty/QtPropertyBrowser/examples/examples.pro' -> `./3rdparty/QtPropertyBrowser/examples/examples.pro' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/3rdparty/QtPropertyBrowser/examples/CMakeLists.txt' -> `./3rdparty/QtPropertyBrowser/examples/CMakeLists.txt' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/3rdparty/QtPropertyBrowser/examples/canvas_typed/qtcanvas.cpp' -> `./3rdparty/QtPropertyBrowser/examples/canvas_typed/qtcanvas.cpp' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/3rdparty/QtPropertyBrowser/examples/canvas_typed/canvas_typed.qdoc' -> `./3rdparty/QtPropertyBrowser/examples/canvas_typed/canvas_typed.qdoc' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/3rdparty/QtPropertyBrowser/examples/canvas_typed/mainwindow.h' -> `./3rdparty/QtPropertyBrowser/examples/canvas_typed/mainwindow.h' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/3rdparty/QtPropertyBrowser/examples/canvas_typed/mainwindow.cpp' -> `./3rdparty/QtPropertyBrowser/examples/canvas_typed/mainwindow.cpp' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/3rdparty/QtPropertyBrowser/examples/canvas_typed/main.cpp' -> `./3rdparty/QtPropertyBrowser/examples/canvas_typed/main.cpp' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/3rdparty/QtPropertyBrowser/examples/canvas_typed/CMakeLists.txt' -> `./3rdparty/QtPropertyBrowser/examples/canvas_typed/CMakeLists.txt' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/3rdparty/QtPropertyBrowser/examples/canvas_typed/qtcanvas.h' -> `./3rdparty/QtPropertyBrowser/examples/canvas_typed/qtcanvas.h' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/3rdparty/QtPropertyBrowser/examples/object_controller/object_controller.qdoc' -> `./3rdparty/QtPropertyBrowser/examples/object_controller/object_controller.qdoc' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/3rdparty/QtPropertyBrowser/examples/object_controller/objectcontroller.h' -> `./3rdparty/QtPropertyBrowser/examples/object_controller/objectcontroller.h' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/3rdparty/QtPropertyBrowser/examples/object_controller/main.cpp' -> `./3rdparty/QtPropertyBrowser/examples/object_controller/main.cpp' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/3rdparty/QtPropertyBrowser/examples/object_controller/CMakeLists.txt' -> `./3rdparty/QtPropertyBrowser/examples/object_controller/CMakeLists.txt' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/3rdparty/QtPropertyBrowser/examples/object_controller/objectcontroller.cpp' -> `./3rdparty/QtPropertyBrowser/examples/object_controller/objectcontroller.cpp' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/3rdparty/QtPropertyBrowser/examples/decoration/decoration.qdoc' -> `./3rdparty/QtPropertyBrowser/examples/decoration/decoration.qdoc' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/3rdparty/QtPropertyBrowser/examples/decoration/main.cpp' -> `./3rdparty/QtPropertyBrowser/examples/decoration/main.cpp' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/3rdparty/QtPropertyBrowser/examples/decoration/CMakeLists.txt' -> `./3rdparty/QtPropertyBrowser/examples/decoration/CMakeLists.txt' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/3rdparty/QtPropertyBrowser/examples/demo/demo.qdoc' -> `./3rdparty/QtPropertyBrowser/examples/demo/demo.qdoc' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/3rdparty/QtPropertyBrowser/examples/demo/demo.qrc' -> `./3rdparty/QtPropertyBrowser/examples/demo/demo.qrc' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/3rdparty/QtPropertyBrowser/examples/demo/main.cpp' -> `./3rdparty/QtPropertyBrowser/examples/demo/main.cpp' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/3rdparty/QtPropertyBrowser/examples/demo/demo.pro' -> `./3rdparty/QtPropertyBrowser/examples/demo/demo.pro' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/3rdparty/QtPropertyBrowser/examples/demo/CMakeLists.txt' -> `./3rdparty/QtPropertyBrowser/examples/demo/CMakeLists.txt' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/3rdparty/QtPropertyBrowser/examples/demo/images/down.png' -> `./3rdparty/QtPropertyBrowser/examples/demo/images/down.png' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/3rdparty/QtPropertyBrowser/examples/demo/images/up.png' -> `./3rdparty/QtPropertyBrowser/examples/demo/images/up.png' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/3rdparty/QtPropertyBrowser/examples/demo/images/left.png' -> `./3rdparty/QtPropertyBrowser/examples/demo/images/left.png' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/3rdparty/QtPropertyBrowser/examples/demo/images/right.png' -> `./3rdparty/QtPropertyBrowser/examples/demo/images/right.png' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/3rdparty/QtPropertyBrowser/examples/canvas_variant/qtcanvas.cpp' -> `./3rdparty/QtPropertyBrowser/examples/canvas_variant/qtcanvas.cpp' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/3rdparty/QtPropertyBrowser/examples/canvas_variant/mainwindow.h' -> `./3rdparty/QtPropertyBrowser/examples/canvas_variant/mainwindow.h' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/3rdparty/QtPropertyBrowser/examples/canvas_variant/canvas_variant.qdoc' -> `./3rdparty/QtPropertyBrowser/examples/canvas_variant/canvas_variant.qdoc' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/3rdparty/QtPropertyBrowser/examples/canvas_variant/mainwindow.cpp' -> `./3rdparty/QtPropertyBrowser/examples/canvas_variant/mainwindow.cpp' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/3rdparty/QtPropertyBrowser/examples/canvas_variant/main.cpp' -> `./3rdparty/QtPropertyBrowser/examples/canvas_variant/main.cpp' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/3rdparty/QtPropertyBrowser/examples/canvas_variant/CMakeLists.txt' -> `./3rdparty/QtPropertyBrowser/examples/canvas_variant/CMakeLists.txt' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/3rdparty/QtPropertyBrowser/examples/canvas_variant/qtcanvas.h' -> `./3rdparty/QtPropertyBrowser/examples/canvas_variant/qtcanvas.h' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/3rdparty/QtPropertyBrowser/examples/extension/extension.qdoc' -> `./3rdparty/QtPropertyBrowser/examples/extension/extension.qdoc' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/3rdparty/QtPropertyBrowser/examples/extension/main.cpp' -> `./3rdparty/QtPropertyBrowser/examples/extension/main.cpp' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/3rdparty/QtPropertyBrowser/examples/extension/extension.pro' -> `./3rdparty/QtPropertyBrowser/examples/extension/extension.pro' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/3rdparty/QtPropertyBrowser/examples/extension/CMakeLists.txt' -> `./3rdparty/QtPropertyBrowser/examples/extension/CMakeLists.txt' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/3rdparty/QtPropertyBrowser/examples/simple/simple.qdoc' -> `./3rdparty/QtPropertyBrowser/examples/simple/simple.qdoc' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/3rdparty/QtPropertyBrowser/examples/simple/main.cpp' -> `./3rdparty/QtPropertyBrowser/examples/simple/main.cpp' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/3rdparty/QtPropertyBrowser/examples/simple/CMakeLists.txt' -> `./3rdparty/QtPropertyBrowser/examples/simple/CMakeLists.txt' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/3rdparty/QtPropertyBrowser/src/qtvariantproperty.cpp' -> `./3rdparty/QtPropertyBrowser/src/qtvariantproperty.cpp' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/3rdparty/QtPropertyBrowser/src/QtDoubleSpinBoxFactory' -> `./3rdparty/QtPropertyBrowser/src/QtDoubleSpinBoxFactory' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/3rdparty/QtPropertyBrowser/src/QtTimePropertyManager' -> `./3rdparty/QtPropertyBrowser/src/QtTimePropertyManager' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/3rdparty/QtPropertyBrowser/src/QtKeySequenceEditorFactory' -> `./3rdparty/QtPropertyBrowser/src/QtKeySequenceEditorFactory' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/3rdparty/QtPropertyBrowser/src/qtpropertybrowser.h' -> `./3rdparty/QtPropertyBrowser/src/qtpropertybrowser.h' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/3rdparty/QtPropertyBrowser/src/qtpropertymanager.cpp' -> `./3rdparty/QtPropertyBrowser/src/qtpropertymanager.cpp' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/3rdparty/QtPropertyBrowser/src/QtAbstractPropertyManager' -> `./3rdparty/QtPropertyBrowser/src/QtAbstractPropertyManager' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/3rdparty/QtPropertyBrowser/src/QtPointPropertyManager' -> `./3rdparty/QtPropertyBrowser/src/QtPointPropertyManager' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/3rdparty/QtPropertyBrowser/src/QtCharPropertyManager' -> `./3rdparty/QtPropertyBrowser/src/QtCharPropertyManager' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/3rdparty/QtPropertyBrowser/src/QtCharEditorFactory' -> `./3rdparty/QtPropertyBrowser/src/QtCharEditorFactory' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/3rdparty/QtPropertyBrowser/src/QtVariantPropertyManager' -> `./3rdparty/QtPropertyBrowser/src/QtVariantPropertyManager' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/3rdparty/QtPropertyBrowser/src/QtDateEditFactory' -> `./3rdparty/QtPropertyBrowser/src/QtDateEditFactory' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/3rdparty/QtPropertyBrowser/src/QtFlagPropertyManager' -> `./3rdparty/QtPropertyBrowser/src/QtFlagPropertyManager' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/3rdparty/QtPropertyBrowser/src/QtRectPropertyManager' -> `./3rdparty/QtPropertyBrowser/src/QtRectPropertyManager' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/3rdparty/QtPropertyBrowser/src/QtFontPropertyManager' -> `./3rdparty/QtPropertyBrowser/src/QtFontPropertyManager' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/3rdparty/QtPropertyBrowser/src/qttreepropertybrowser.cpp' -> `./3rdparty/QtPropertyBrowser/src/qttreepropertybrowser.cpp' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/3rdparty/QtPropertyBrowser/src/qtpropertybrowser.pri' -> `./3rdparty/QtPropertyBrowser/src/qtpropertybrowser.pri' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/3rdparty/QtPropertyBrowser/src/QtEnumEditorFactory' -> `./3rdparty/QtPropertyBrowser/src/QtEnumEditorFactory' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/3rdparty/QtPropertyBrowser/src/qtpropertybrowserutils_p.h' -> `./3rdparty/QtPropertyBrowser/src/qtpropertybrowserutils_p.h' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/3rdparty/QtPropertyBrowser/src/QtTreePropertyBrowser' -> `./3rdparty/QtPropertyBrowser/src/QtTreePropertyBrowser' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/3rdparty/QtPropertyBrowser/src/qtpropertybrowser.cpp' -> `./3rdparty/QtPropertyBrowser/src/qtpropertybrowser.cpp' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/3rdparty/QtPropertyBrowser/src/QtSpinBoxFactory' -> `./3rdparty/QtPropertyBrowser/src/QtSpinBoxFactory' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/3rdparty/QtPropertyBrowser/src/qtpropertymanager.h' -> `./3rdparty/QtPropertyBrowser/src/qtpropertymanager.h' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/3rdparty/QtPropertyBrowser/src/QtDatePropertyManager' -> `./3rdparty/QtPropertyBrowser/src/QtDatePropertyManager' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/3rdparty/QtPropertyBrowser/src/QtCursorPropertyManager' -> `./3rdparty/QtPropertyBrowser/src/QtCursorPropertyManager' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/3rdparty/QtPropertyBrowser/src/QtGroupPropertyManager' -> `./3rdparty/QtPropertyBrowser/src/QtGroupPropertyManager' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/3rdparty/QtPropertyBrowser/src/QtBrowserItem' -> `./3rdparty/QtPropertyBrowser/src/QtBrowserItem' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/3rdparty/QtPropertyBrowser/src/qttreepropertybrowser.h' -> `./3rdparty/QtPropertyBrowser/src/qttreepropertybrowser.h' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/3rdparty/QtPropertyBrowser/src/QtDoublePropertyManager' -> `./3rdparty/QtPropertyBrowser/src/QtDoublePropertyManager' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/3rdparty/QtPropertyBrowser/src/QtFontEditorFactory' -> `./3rdparty/QtPropertyBrowser/src/QtFontEditorFactory' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/3rdparty/QtPropertyBrowser/src/QtSliderFactory' -> `./3rdparty/QtPropertyBrowser/src/QtSliderFactory' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/3rdparty/QtPropertyBrowser/src/QtColorPropertyManager' -> `./3rdparty/QtPropertyBrowser/src/QtColorPropertyManager' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/3rdparty/QtPropertyBrowser/src/QtDateTimePropertyManager' -> `./3rdparty/QtPropertyBrowser/src/QtDateTimePropertyManager' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/3rdparty/QtPropertyBrowser/src/qtbuttonpropertybrowser.cpp' -> `./3rdparty/QtPropertyBrowser/src/qtbuttonpropertybrowser.cpp' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/3rdparty/QtPropertyBrowser/src/qtpropertybrowser.qrc' -> `./3rdparty/QtPropertyBrowser/src/qtpropertybrowser.qrc' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/3rdparty/QtPropertyBrowser/src/QtAbstractPropertyBrowser' -> `./3rdparty/QtPropertyBrowser/src/QtAbstractPropertyBrowser' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/3rdparty/QtPropertyBrowser/src/qteditorfactory.cpp' -> `./3rdparty/QtPropertyBrowser/src/qteditorfactory.cpp' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/3rdparty/QtPropertyBrowser/src/QtSizePolicyPropertyManager' -> `./3rdparty/QtPropertyBrowser/src/QtSizePolicyPropertyManager' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/3rdparty/QtPropertyBrowser/src/QtVariantProperty' -> `./3rdparty/QtPropertyBrowser/src/QtVariantProperty' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/3rdparty/QtPropertyBrowser/src/QtCheckBoxFactory' -> `./3rdparty/QtPropertyBrowser/src/QtCheckBoxFactory' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/3rdparty/QtPropertyBrowser/src/QtButtonPropertyBrowser' -> `./3rdparty/QtPropertyBrowser/src/QtButtonPropertyBrowser' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/3rdparty/QtPropertyBrowser/src/QtGroupBoxPropertyBrowser' -> `./3rdparty/QtPropertyBrowser/src/QtGroupBoxPropertyBrowser' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/3rdparty/QtPropertyBrowser/src/QtTimeEditFactory' -> `./3rdparty/QtPropertyBrowser/src/QtTimeEditFactory' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/3rdparty/QtPropertyBrowser/src/QtSizeFPropertyManager' -> `./3rdparty/QtPropertyBrowser/src/QtSizeFPropertyManager' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/3rdparty/QtPropertyBrowser/src/QtDateTimeEditFactory' -> `./3rdparty/QtPropertyBrowser/src/QtDateTimeEditFactory' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/3rdparty/QtPropertyBrowser/src/qtgroupboxpropertybrowser.h' -> `./3rdparty/QtPropertyBrowser/src/qtgroupboxpropertybrowser.h' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/3rdparty/QtPropertyBrowser/src/QtEnumPropertyManager' -> `./3rdparty/QtPropertyBrowser/src/QtEnumPropertyManager' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/3rdparty/QtPropertyBrowser/src/QtLineEditFactory' -> `./3rdparty/QtPropertyBrowser/src/QtLineEditFactory' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/3rdparty/QtPropertyBrowser/src/QtProperty' -> `./3rdparty/QtPropertyBrowser/src/QtProperty' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/3rdparty/QtPropertyBrowser/src/qtbuttonpropertybrowser.h' -> `./3rdparty/QtPropertyBrowser/src/qtbuttonpropertybrowser.h' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/3rdparty/QtPropertyBrowser/src/QtPointFPropertyManager' -> `./3rdparty/QtPropertyBrowser/src/QtPointFPropertyManager' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/3rdparty/QtPropertyBrowser/src/QtAbstractEditorFactoryBase' -> `./3rdparty/QtPropertyBrowser/src/QtAbstractEditorFactoryBase' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/3rdparty/QtPropertyBrowser/src/QtBoolPropertyManager' -> `./3rdparty/QtPropertyBrowser/src/QtBoolPropertyManager' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/3rdparty/QtPropertyBrowser/src/QtColorEditorFactory' -> `./3rdparty/QtPropertyBrowser/src/QtColorEditorFactory' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/3rdparty/QtPropertyBrowser/src/QtKeySequencePropertyManager' -> `./3rdparty/QtPropertyBrowser/src/QtKeySequencePropertyManager' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/3rdparty/QtPropertyBrowser/src/qtpropertybrowserutils.cpp' -> `./3rdparty/QtPropertyBrowser/src/qtpropertybrowserutils.cpp' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/3rdparty/QtPropertyBrowser/src/QtStringPropertyManager' -> `./3rdparty/QtPropertyBrowser/src/QtStringPropertyManager' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/3rdparty/QtPropertyBrowser/src/qteditorfactory.h' -> `./3rdparty/QtPropertyBrowser/src/qteditorfactory.h' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/3rdparty/QtPropertyBrowser/src/QtSizePropertyManager' -> `./3rdparty/QtPropertyBrowser/src/QtSizePropertyManager' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/3rdparty/QtPropertyBrowser/src/CMakeLists.txt' -> `./3rdparty/QtPropertyBrowser/src/CMakeLists.txt' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/3rdparty/QtPropertyBrowser/src/QtIntPropertyManager' -> `./3rdparty/QtPropertyBrowser/src/QtIntPropertyManager' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/3rdparty/QtPropertyBrowser/src/QtLocalePropertyManager' -> `./3rdparty/QtPropertyBrowser/src/QtLocalePropertyManager' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/3rdparty/QtPropertyBrowser/src/qtvariantproperty.h' -> `./3rdparty/QtPropertyBrowser/src/qtvariantproperty.h' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/3rdparty/QtPropertyBrowser/src/QtRectFPropertyManager' -> `./3rdparty/QtPropertyBrowser/src/QtRectFPropertyManager' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/3rdparty/QtPropertyBrowser/src/QtScrollBarFactory' -> `./3rdparty/QtPropertyBrowser/src/QtScrollBarFactory' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/3rdparty/QtPropertyBrowser/src/QtCursorEditorFactory' -> `./3rdparty/QtPropertyBrowser/src/QtCursorEditorFactory' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/3rdparty/QtPropertyBrowser/src/QtVariantEditorFactory' -> `./3rdparty/QtPropertyBrowser/src/QtVariantEditorFactory' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/3rdparty/QtPropertyBrowser/src/qtgroupboxpropertybrowser.cpp' -> `./3rdparty/QtPropertyBrowser/src/qtgroupboxpropertybrowser.cpp' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/3rdparty/QtPropertyBrowser/src/images/cursor-sizeh.png' -> `./3rdparty/QtPropertyBrowser/src/images/cursor-sizeh.png' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/3rdparty/QtPropertyBrowser/src/images/cursor-sizev.png' -> `./3rdparty/QtPropertyBrowser/src/images/cursor-sizev.png' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/3rdparty/QtPropertyBrowser/src/images/cursor-whatsthis.png' -> `./3rdparty/QtPropertyBrowser/src/images/cursor-whatsthis.png' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/3rdparty/QtPropertyBrowser/src/images/cursor-arrow.png' -> `./3rdparty/QtPropertyBrowser/src/images/cursor-arrow.png' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/3rdparty/QtPropertyBrowser/src/images/cursor-closedhand.png' -> `./3rdparty/QtPropertyBrowser/src/images/cursor-closedhand.png' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/3rdparty/QtPropertyBrowser/src/images/cursor-busy.png' -> `./3rdparty/QtPropertyBrowser/src/images/cursor-busy.png' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/3rdparty/QtPropertyBrowser/src/images/cursor-sizeall.png' -> `./3rdparty/QtPropertyBrowser/src/images/cursor-sizeall.png' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/3rdparty/QtPropertyBrowser/src/images/cursor-hand.png' -> `./3rdparty/QtPropertyBrowser/src/images/cursor-hand.png' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/3rdparty/QtPropertyBrowser/src/images/cursor-sizef.png' -> `./3rdparty/QtPropertyBrowser/src/images/cursor-sizef.png' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/3rdparty/QtPropertyBrowser/src/images/cursor-hsplit.png' -> `./3rdparty/QtPropertyBrowser/src/images/cursor-hsplit.png' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/3rdparty/QtPropertyBrowser/src/images/cursor-sizeb.png' -> `./3rdparty/QtPropertyBrowser/src/images/cursor-sizeb.png' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/3rdparty/QtPropertyBrowser/src/images/cursor-cross.png' -> `./3rdparty/QtPropertyBrowser/src/images/cursor-cross.png' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/3rdparty/QtPropertyBrowser/src/images/cursor-uparrow.png' -> `./3rdparty/QtPropertyBrowser/src/images/cursor-uparrow.png' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/3rdparty/QtPropertyBrowser/src/images/cursor-ibeam.png' -> `./3rdparty/QtPropertyBrowser/src/images/cursor-ibeam.png' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/3rdparty/QtPropertyBrowser/src/images/cursor-openhand.png' -> `./3rdparty/QtPropertyBrowser/src/images/cursor-openhand.png' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/3rdparty/QtPropertyBrowser/src/images/cursor-wait.png' -> `./3rdparty/QtPropertyBrowser/src/images/cursor-wait.png' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/3rdparty/QtPropertyBrowser/src/images/cursor-forbidden.png' -> `./3rdparty/QtPropertyBrowser/src/images/cursor-forbidden.png' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/3rdparty/QtPropertyBrowser/src/images/cursor-vsplit.png' -> `./3rdparty/QtPropertyBrowser/src/images/cursor-vsplit.png' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/3rdparty/oourafft/readme.txt' -> `./3rdparty/oourafft/readme.txt' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/3rdparty/oourafft/readme2d.txt' -> `./3rdparty/oourafft/readme2d.txt' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/3rdparty/oourafft/fftsg.h' -> `./3rdparty/oourafft/fftsg.h' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/3rdparty/oourafft/fftsg2d.cc' -> `./3rdparty/oourafft/fftsg2d.cc' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/3rdparty/oourafft/fftsg.cc' -> `./3rdparty/oourafft/fftsg.cc' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/3rdparty/oourafft/CMakeLists.txt' -> `./3rdparty/oourafft/CMakeLists.txt' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/3rdparty/python-console/README.md' -> `./3rdparty/python-console/README.md' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/3rdparty/python-console/test_cli.cpp' -> `./3rdparty/python-console/test_cli.cpp' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/3rdparty/python-console/LICENSE' -> `./3rdparty/python-console/LICENSE' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/3rdparty/python-console/ParseHelper.h' -> `./3rdparty/python-console/ParseHelper.h' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/3rdparty/python-console/Console.h' -> `./3rdparty/python-console/Console.h' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/3rdparty/python-console/ParseHelper.cpp' -> `./3rdparty/python-console/ParseHelper.cpp' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/3rdparty/python-console/ParseHelper.BracketParseState.cpp' -> `./3rdparty/python-console/ParseHelper.BracketParseState.cpp' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/3rdparty/python-console/ParseListener.cpp' -> `./3rdparty/python-console/ParseListener.cpp' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/3rdparty/python-console/ParseMessage.cpp' -> `./3rdparty/python-console/ParseMessage.cpp' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/3rdparty/python-console/Interpreter.cpp' -> `./3rdparty/python-console/Interpreter.cpp' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/3rdparty/python-console/ParseHelper.ContinuationParseState.cpp' -> `./3rdparty/python-console/ParseHelper.ContinuationParseState.cpp' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/3rdparty/python-console/Interpreter.h' -> `./3rdparty/python-console/Interpreter.h' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/3rdparty/python-console/ParseMessage.h' -> `./3rdparty/python-console/ParseMessage.h' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/3rdparty/python-console/Console.cpp' -> `./3rdparty/python-console/Console.cpp' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/3rdparty/python-console/test_parse_helper.cpp' -> `./3rdparty/python-console/test_parse_helper.cpp' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/3rdparty/python-console/test_python_interpreter.cpp' -> `./3rdparty/python-console/test_python_interpreter.cpp' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/3rdparty/python-console/ParseHelper.BlockParseState.cpp' -> `./3rdparty/python-console/ParseHelper.BlockParseState.cpp' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/3rdparty/python-console/ParseListener.h' -> `./3rdparty/python-console/ParseListener.h' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/3rdparty/python-console/ColumnFormatter.cpp' -> `./3rdparty/python-console/ColumnFormatter.cpp' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/3rdparty/python-console/Utils.h' -> `./3rdparty/python-console/Utils.h' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/3rdparty/python-console/test_console.cpp' -> `./3rdparty/python-console/test_console.cpp' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/3rdparty/python-console/CMakeLists.txt' -> `./3rdparty/python-console/CMakeLists.txt' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/3rdparty/python-console/ColumnFormatter.h' -> `./3rdparty/python-console/ColumnFormatter.h' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/3rdparty/python-console/data/test2.py' -> `./3rdparty/python-console/data/test2.py' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/3rdparty/python-console/data/test.py' -> `./3rdparty/python-console/data/test.py' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/3rdparty/python-console/data/test5.py' -> `./3rdparty/python-console/data/test5.py' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/3rdparty/python-console/data/test4.py' -> `./3rdparty/python-console/data/test4.py' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/3rdparty/python-console/data/test3.py' -> `./3rdparty/python-console/data/test3.py' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/3rdparty/python-console/modified/pyredirector.h' -> `./3rdparty/python-console/modified/pyredirector.h' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/3rdparty/python-console/modified/pyinterpreter.cc' -> `./3rdparty/python-console/modified/pyinterpreter.cc' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/3rdparty/python-console/modified/pyinterpreter.h' -> `./3rdparty/python-console/modified/pyinterpreter.h' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/3rdparty/python-console/modified/pyredirector.cc' -> `./3rdparty/python-console/modified/pyredirector.cc' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/3rdparty/json11/json11.cpp' -> `./3rdparty/json11/json11.cpp' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/3rdparty/json11/json11.hpp' -> `./3rdparty/json11/json11.hpp' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/3rdparty/json11/LICENSE.txt' -> `./3rdparty/json11/LICENSE.txt' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/3rdparty/json11/CMakeLists.txt' -> `./3rdparty/json11/CMakeLists.txt' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/tests/README.md' -> `./tests/README.md' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/tests/COPYING' -> `./tests/COPYING' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/tests/generic/main.cc' -> `./tests/generic/main.cc' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/tests/generic/flow/.gitignore' -> `./tests/generic/flow/.gitignore' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/tests/generic/flow/run.sh' -> `./tests/generic/flow/run.sh' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/tests/generic/flow/bel-pin/pre_pack.py' -> `./tests/generic/flow/bel-pin/pre_pack.py' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/tests/generic/flow/bel-pin/post_route.py' -> `./tests/generic/flow/bel-pin/post_route.py' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/tests/generic/flow/bel-pin/design.v' -> `./tests/generic/flow/bel-pin/design.v' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/tests/generic/flow/bel-pin/run.sh' -> `./tests/generic/flow/bel-pin/run.sh' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/tests/ecp5/regressions/.gitignore' -> `./tests/ecp5/regressions/.gitignore' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/tests/ecp5/regressions/Makefile' -> `./tests/ecp5/regressions/Makefile' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/tests/ecp5/regressions/issue0191/testcase.npnr' -> `./tests/ecp5/regressions/issue0191/testcase.npnr' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/tests/ecp5/regressions/issue0191/testcase.json.gz' -> `./tests/ecp5/regressions/issue0191/testcase.json.gz' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/tests/ecp5/regressions/issue0191/testcase.v' -> `./tests/ecp5/regressions/issue0191/testcase.v' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/tests/ecp5/regressions/issue0191/testcase.lpf' -> `./tests/ecp5/regressions/issue0191/testcase.lpf' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/tests/ecp5/regressions/issue0194/testcase.npnr' -> `./tests/ecp5/regressions/issue0194/testcase.npnr' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/tests/ecp5/regressions/issue0194/testcase.json.gz' -> `./tests/ecp5/regressions/issue0194/testcase.json.gz' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/tests/ecp5/regressions/issue0194/testcase.v' -> `./tests/ecp5/regressions/issue0194/testcase.v' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/tests/ecp5/regressions/issue0194/testcase.sh' -> `./tests/ecp5/regressions/issue0194/testcase.sh' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/tests/ecp5/regressions/issue0259/seg.npnr' -> `./tests/ecp5/regressions/issue0259/seg.npnr' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/tests/ecp5/regressions/issue0259/seg.json.gz' -> `./tests/ecp5/regressions/issue0259/seg.json.gz' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/tests/ecp5/regressions/issue0235/blinky.v' -> `./tests/ecp5/regressions/issue0235/blinky.v' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/tests/ecp5/regressions/issue0235/blinky.json.gz' -> `./tests/ecp5/regressions/issue0235/blinky.json.gz' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/tests/ecp5/regressions/issue0235/blinky.lpf' -> `./tests/ecp5/regressions/issue0235/blinky.lpf' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/tests/ecp5/regressions/issue0235/blinky.npnr' -> `./tests/ecp5/regressions/issue0235/blinky.npnr' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/tests/gui/quadtree.cc' -> `./tests/gui/quadtree.cc' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/tests/gui/CMakeLists.txt' -> `./tests/gui/CMakeLists.txt' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/tests/ice40/regressions/.gitignore' -> `./tests/ice40/regressions/.gitignore' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/tests/ice40/regressions/Makefile' -> `./tests/ice40/regressions/Makefile' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/tests/ice40/regressions/issue0121/serv_0.npnr' -> `./tests/ice40/regressions/issue0121/serv_0.npnr' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/tests/ice40/regressions/issue0121/serv_0.json.gz' -> `./tests/ice40/regressions/issue0121/serv_0.json.gz' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/tests/ice40/regressions/issue0121/serv_0.pcf' -> `./tests/ice40/regressions/issue0121/serv_0.pcf' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/tests/ice40/regressions/issue0188/test.ys' -> `./tests/ice40/regressions/issue0188/test.ys' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/tests/ice40/regressions/issue0188/pll.v' -> `./tests/ice40/regressions/issue0188/pll.v' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/tests/ice40/regressions/issue0188/test.pcf' -> `./tests/ice40/regressions/issue0188/test.pcf' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/tests/ice40/regressions/issue0188/test.json.gz' -> `./tests/ice40/regressions/issue0188/test.json.gz' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/tests/ice40/regressions/issue0188/test.v' -> `./tests/ice40/regressions/issue0188/test.v' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/tests/ice40/regressions/issue0188/test.npnr' -> `./tests/ice40/regressions/issue0188/test.npnr' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/tests/ice40/regressions/issue0070/sb_rgba_drv.npnr' -> `./tests/ice40/regressions/issue0070/sb_rgba_drv.npnr' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/tests/ice40/regressions/issue0070/sb_rgba_drv.v' -> `./tests/ice40/regressions/issue0070/sb_rgba_drv.v' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/tests/ice40/regressions/issue0070/sb_rgba_drv.json.gz' -> `./tests/ice40/regressions/issue0070/sb_rgba_drv.json.gz' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/tests/ice40/regressions/issue0126/pll-test.v' -> `./tests/ice40/regressions/issue0126/pll-test.v' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/tests/ice40/regressions/issue0126/pll-test.ys' -> `./tests/ice40/regressions/issue0126/pll-test.ys' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/tests/ice40/regressions/issue0126/pll-test.npnr' -> `./tests/ice40/regressions/issue0126/pll-test.npnr' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/tests/ice40/regressions/issue0126/pll-test.pcf' -> `./tests/ice40/regressions/issue0126/pll-test.pcf' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/tests/ice40/regressions/issue0126/pll-test.json.gz' -> `./tests/ice40/regressions/issue0126/pll-test.json.gz' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/tests/ice40/regressions/issue0176/test2.ys' -> `./tests/ice40/regressions/issue0176/test2.ys' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/tests/ice40/regressions/issue0176/test1.json.gz' -> `./tests/ice40/regressions/issue0176/test1.json.gz' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/tests/ice40/regressions/issue0176/test3.ys' -> `./tests/ice40/regressions/issue0176/test3.ys' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/tests/ice40/regressions/issue0176/test6.json.gz' -> `./tests/ice40/regressions/issue0176/test6.json.gz' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/tests/ice40/regressions/issue0176/test5.json.gz' -> `./tests/ice40/regressions/issue0176/test5.json.gz' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/tests/ice40/regressions/issue0176/test1.ys' -> `./tests/ice40/regressions/issue0176/test1.ys' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/tests/ice40/regressions/issue0176/test8.json.gz' -> `./tests/ice40/regressions/issue0176/test8.json.gz' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/tests/ice40/regressions/issue0176/test4.json.gz' -> `./tests/ice40/regressions/issue0176/test4.json.gz' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/tests/ice40/regressions/issue0176/test7.json.gz' -> `./tests/ice40/regressions/issue0176/test7.json.gz' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/tests/ice40/regressions/issue0176/test8.ys' -> `./tests/ice40/regressions/issue0176/test8.ys' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/tests/ice40/regressions/issue0176/test3.json.gz' -> `./tests/ice40/regressions/issue0176/test3.json.gz' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/tests/ice40/regressions/issue0176/test4.ys' -> `./tests/ice40/regressions/issue0176/test4.ys' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/tests/ice40/regressions/issue0176/test5.ys' -> `./tests/ice40/regressions/issue0176/test5.ys' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/tests/ice40/regressions/issue0176/test6.ys' -> `./tests/ice40/regressions/issue0176/test6.ys' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/tests/ice40/regressions/issue0176/test2.json.gz' -> `./tests/ice40/regressions/issue0176/test2.json.gz' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/tests/ice40/regressions/issue0176/test7.ys' -> `./tests/ice40/regressions/issue0176/test7.ys' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/tests/ice40/regressions/issue0176/top.v' -> `./tests/ice40/regressions/issue0176/top.v' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/tests/ice40/regressions/issue0069/top.json.gz' -> `./tests/ice40/regressions/issue0069/top.json.gz' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/tests/ice40/regressions/issue0069/top.npnr' -> `./tests/ice40/regressions/issue0069/top.npnr' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/tests/ice40/regressions/issue0069/top.pcf' -> `./tests/ice40/regressions/issue0069/top.pcf' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/tests/ice40/regressions/issue0069/top.v' -> `./tests/ice40/regressions/issue0069/top.v' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/tests/ice40/regressions/issue0069/top.ys' -> `./tests/ice40/regressions/issue0069/top.ys' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/tests/ice40/regressions/issue0116/phase_addon.json.gz' -> `./tests/ice40/regressions/issue0116/phase_addon.json.gz' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/tests/ice40/regressions/issue0116/phase_addon.pcf' -> `./tests/ice40/regressions/issue0116/phase_addon.pcf' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/tests/ice40/regressions/issue0116/WAIVE' -> `./tests/ice40/regressions/issue0116/WAIVE' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/tests/ice40/regressions/issue0116/phase_addon.npnr' -> `./tests/ice40/regressions/issue0116/phase_addon.npnr' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/tests/ice40/regressions/issue0218/rx_cdr_divider.npnr' -> `./tests/ice40/regressions/issue0218/rx_cdr_divider.npnr' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/tests/ice40/regressions/issue0218/rx_cdr_divider.json.gz' -> `./tests/ice40/regressions/issue0218/rx_cdr_divider.json.gz' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/tests/ice40/regressions/issue0099/top.json.gz' -> `./tests/ice40/regressions/issue0099/top.json.gz' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/tests/ice40/regressions/issue0099/top.npnr' -> `./tests/ice40/regressions/issue0099/top.npnr' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/tests/ice40/regressions/issue0099/top.v' -> `./tests/ice40/regressions/issue0099/top.v' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/tests/ice40/regressions/issue0099/top.ys' -> `./tests/ice40/regressions/issue0099/top.ys' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/tests/ice40/regressions/issue0120/top.json.gz' -> `./tests/ice40/regressions/issue0120/top.json.gz' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/tests/ice40/regressions/issue0120/top.npnr' -> `./tests/ice40/regressions/issue0120/top.npnr' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/tests/ice40/regressions/issue0120/top.pcf' -> `./tests/ice40/regressions/issue0120/top.pcf' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/tests/ice40/regressions/issue0120/mem.init' -> `./tests/ice40/regressions/issue0120/mem.init' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/tests/ice40/regressions/issue0120/top.v' -> `./tests/ice40/regressions/issue0120/top.v' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/tests/ice40/regressions/issue0120/top.sh' -> `./tests/ice40/regressions/issue0120/top.sh' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/tests/ice40/regressions/issue0120/top.ys' -> `./tests/ice40/regressions/issue0120/top.ys' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/tests/ice40/regressions/issue0071/top-nopll.json.gz' -> `./tests/ice40/regressions/issue0071/top-nopll.json.gz' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/tests/ice40/regressions/issue0071/top-pll.ys' -> `./tests/ice40/regressions/issue0071/top-pll.ys' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/tests/ice40/regressions/issue0071/top-pll.v' -> `./tests/ice40/regressions/issue0071/top-pll.v' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/tests/ice40/regressions/issue0071/top-nopll.v' -> `./tests/ice40/regressions/issue0071/top-nopll.v' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/tests/ice40/regressions/issue0071/top-nopll.ys' -> `./tests/ice40/regressions/issue0071/top-nopll.ys' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/tests/ice40/regressions/issue0071/top-nopll.npnr' -> `./tests/ice40/regressions/issue0071/top-nopll.npnr' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/tests/ice40/regressions/issue0071/top-pll.pcf' -> `./tests/ice40/regressions/issue0071/top-pll.pcf' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/tests/ice40/regressions/issue0071/top-pll.json.gz' -> `./tests/ice40/regressions/issue0071/top-pll.json.gz' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/tests/ice40/regressions/issue0071/top-pll.npnr' -> `./tests/ice40/regressions/issue0071/top-pll.npnr' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/tests/ice40/regressions/issue0071/top-nopll.pcf' -> `./tests/ice40/regressions/issue0071/top-nopll.pcf' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/tests/ice40/regressions/issue0229/top.json.gz' -> `./tests/ice40/regressions/issue0229/top.json.gz' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/tests/ice40/regressions/issue0229/top_pre_pack.py' -> `./tests/ice40/regressions/issue0229/top_pre_pack.py' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/tests/ice40/regressions/issue0229/top.npnr' -> `./tests/ice40/regressions/issue0229/top.npnr' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/tests/ice40/regressions/issue0229/top.pcf' -> `./tests/ice40/regressions/issue0229/top.pcf' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/tests/ice40/regressions/issue0229/top.v' -> `./tests/ice40/regressions/issue0229/top.v' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/tests/ice40/regressions/issue0229/top.ys' -> `./tests/ice40/regressions/issue0229/top.ys' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/tests/ice40/regressions/issue0065/wtf.npnr' -> `./tests/ice40/regressions/issue0065/wtf.npnr' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/tests/ice40/regressions/issue0065/wtf.ys' -> `./tests/ice40/regressions/issue0065/wtf.ys' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/tests/ice40/regressions/issue0065/ice40_pll_12_216.v' -> `./tests/ice40/regressions/issue0065/ice40_pll_12_216.v' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/tests/ice40/regressions/issue0065/wtf.json.gz' -> `./tests/ice40/regressions/issue0065/wtf.json.gz' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/tests/ice40/regressions/issue0065/wtf.v' -> `./tests/ice40/regressions/issue0065/wtf.v' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/tests/ice40/regressions/issue0084/mlaccel.sh' -> `./tests/ice40/regressions/issue0084/mlaccel.sh' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/tests/ice40/regressions/issue0084/mlaccel.json.gz' -> `./tests/ice40/regressions/issue0084/mlaccel.json.gz' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/tests/ice40/regressions/issue0084/mlaccel.pcf' -> `./tests/ice40/regressions/issue0084/mlaccel.pcf' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/tests/ice40/regressions/issue0084/mlaccel.npnr' -> `./tests/ice40/regressions/issue0084/mlaccel.npnr' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/tests/ice40/regressions/pr0252/top.json.gz' -> `./tests/ice40/regressions/pr0252/top.json.gz' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/tests/ice40/regressions/pr0252/top.npnr' -> `./tests/ice40/regressions/pr0252/top.npnr' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/tests/ice40/regressions/pr0252/top.v' -> `./tests/ice40/regressions/pr0252/top.v' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/tests/ice40/regressions/pr0252/top.ys' -> `./tests/ice40/regressions/pr0252/top.ys' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/tests/ice40/regressions/issue0237/top.json.gz' -> `./tests/ice40/regressions/issue0237/top.json.gz' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/tests/ice40/regressions/issue0237/top.npnr' -> `./tests/ice40/regressions/issue0237/top.npnr' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/tests/ice40/regressions/issue0237/top.v' -> `./tests/ice40/regressions/issue0237/top.v' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/tests/ice40/regressions/issue0237/top.ys' -> `./tests/ice40/regressions/issue0237/top.ys' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/tests/ice40/regressions/issue0090/conn-crash.v' -> `./tests/ice40/regressions/issue0090/conn-crash.v' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/tests/ice40/regressions/issue0090/conn-crash.ys' -> `./tests/ice40/regressions/issue0090/conn-crash.ys' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/tests/ice40/regressions/issue0090/conn-crash.pcf' -> `./tests/ice40/regressions/issue0090/conn-crash.pcf' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/tests/ice40/regressions/issue0090/conn-crash.npnr' -> `./tests/ice40/regressions/issue0090/conn-crash.npnr' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/tests/ice40/regressions/issue0090/conn-crash.sh' -> `./tests/ice40/regressions/issue0090/conn-crash.sh' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/tests/ice40/regressions/issue0090/conn-crash.json.gz' -> `./tests/ice40/regressions/issue0090/conn-crash.json.gz' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/tests/ice40/regressions/issue0209/test.sh' -> `./tests/ice40/regressions/issue0209/test.sh' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/tests/ice40/regressions/issue0209/test.ys' -> `./tests/ice40/regressions/issue0209/test.ys' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/tests/ice40/regressions/issue0209/test.pcf' -> `./tests/ice40/regressions/issue0209/test.pcf' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/tests/ice40/regressions/issue0209/test.json.gz' -> `./tests/ice40/regressions/issue0209/test.json.gz' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/tests/ice40/regressions/issue0209/test.v' -> `./tests/ice40/regressions/issue0209/test.v' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/tests/ice40/regressions/issue0209/test.npnr' -> `./tests/ice40/regressions/issue0209/test.npnr' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/tests/ice40/regressions/issue0148/ice40.json.gz' -> `./tests/ice40/regressions/issue0148/ice40.json.gz' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/tests/ice40/regressions/issue0148/ATTRIBUTION' -> `./tests/ice40/regressions/issue0148/ATTRIBUTION' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/tests/ice40/regressions/issue0148/ice40.npnr' -> `./tests/ice40/regressions/issue0148/ice40.npnr' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/tests/ice40/regressions/issue0148/ice40.ys' -> `./tests/ice40/regressions/issue0148/ice40.ys' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/tests/ice40/regressions/issue0148/ice40.pcf' -> `./tests/ice40/regressions/issue0148/ice40.pcf' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/tests/ice40/regressions/issue0148/hdl/ice40.sdc' -> `./tests/ice40/regressions/issue0148/hdl/ice40.sdc' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/tests/ice40/regressions/issue0148/hdl/nexys4.xdc' -> `./tests/ice40/regressions/issue0148/hdl/nexys4.xdc' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/tests/ice40/regressions/issue0148/hdl/testbench.sv' -> `./tests/ice40/regressions/issue0148/hdl/testbench.sv' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/tests/ice40/regressions/issue0148/hdl/simram.sv' -> `./tests/ice40/regressions/issue0148/hdl/simram.sv' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/tests/ice40/regressions/issue0148/hdl/ice40.v' -> `./tests/ice40/regressions/issue0148/hdl/ice40.v' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/tests/ice40/regressions/issue0148/hdl/cpu16.sv' -> `./tests/ice40/regressions/issue0148/hdl/cpu16.sv' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/tests/ice40/regressions/issue0148/hdl/uart.v' -> `./tests/ice40/regressions/issue0148/hdl/uart.v' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/tests/ice40/regressions/issue0148/hdl/testvga.sv' -> `./tests/ice40/regressions/issue0148/hdl/testvga.sv' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/tests/ice40/regressions/issue0148/hdl/spi_debug_ifc.v' -> `./tests/ice40/regressions/issue0148/hdl/spi_debug_ifc.v' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/tests/ice40/regressions/issue0148/hdl/nexys4.sv' -> `./tests/ice40/regressions/issue0148/hdl/nexys4.sv' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/tests/ice40/regressions/issue0148/hdl/ice40.pcf' -> `./tests/ice40/regressions/issue0148/hdl/ice40.pcf' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/tests/ice40/regressions/issue0148/hdl/lattice/pll_12_25.v' -> `./tests/ice40/regressions/issue0148/hdl/lattice/pll_12_25.v' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/tests/ice40/regressions/issue0148/hdl/cpu/isa.txt' -> `./tests/ice40/regressions/issue0148/hdl/cpu/isa.txt' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/tests/ice40/regressions/issue0148/hdl/cpu/cpu.v' -> `./tests/ice40/regressions/issue0148/hdl/cpu/cpu.v' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/tests/ice40/regressions/issue0148/hdl/cpu/regfile.v' -> `./tests/ice40/regressions/issue0148/hdl/cpu/regfile.v' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/tests/ice40/regressions/issue0148/hdl/cpu/alu.v' -> `./tests/ice40/regressions/issue0148/hdl/cpu/alu.v' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/tests/ice40/regressions/issue0148/hdl/vga/vga.v' -> `./tests/ice40/regressions/issue0148/hdl/vga/vga.v' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/tests/ice40/regressions/issue0148/hdl/vga/vga40x30x2.v' -> `./tests/ice40/regressions/issue0148/hdl/vga/vga40x30x2.v' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/tests/ice40/regressions/issue0148/hdl/vga/chardata.v' -> `./tests/ice40/regressions/issue0148/hdl/vga/chardata.v' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/tests/ice40/regressions/issue0148/hdl/vga/prom.txt' -> `./tests/ice40/regressions/issue0148/hdl/vga/prom.txt' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/tests/ice40/regressions/issue0148/hdl/vga/videoram.v' -> `./tests/ice40/regressions/issue0148/hdl/vga/videoram.v' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/tests/ice40/regressions/issue0148/hdl/vga/vram.txt' -> `./tests/ice40/regressions/issue0148/hdl/vga/vram.txt' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/tests/ice40/regressions/issue0170/hx8kbb.pcf' -> `./tests/ice40/regressions/issue0170/hx8kbb.pcf' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/tests/ice40/regressions/issue0170/hx8kbb.json.gz' -> `./tests/ice40/regressions/issue0170/hx8kbb.json.gz' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/tests/ice40/regressions/issue0170/hx8kbb.npnr' -> `./tests/ice40/regressions/issue0170/hx8kbb.npnr' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/tests/ice40/regressions/issue0258/twopll.json.gz' -> `./tests/ice40/regressions/issue0258/twopll.json.gz' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/tests/ice40/regressions/issue0258/twopll.npnr' -> `./tests/ice40/regressions/issue0258/twopll.npnr' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/tests/ice40/regressions/issue0258/twopll.pcf' -> `./tests/ice40/regressions/issue0258/twopll.pcf' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/tests/ice40/regressions/issue0203/ringosc.ys' -> `./tests/ice40/regressions/issue0203/ringosc.ys' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/tests/ice40/regressions/issue0203/ringosc.json.gz' -> `./tests/ice40/regressions/issue0203/ringosc.json.gz' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/tests/ice40/regressions/issue0203/ringosc.pcf' -> `./tests/ice40/regressions/issue0203/ringosc.pcf' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/tests/ice40/regressions/issue0203/ringosc.npnr' -> `./tests/ice40/regressions/issue0203/ringosc.npnr' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/tests/ice40/regressions/issue0203/ringosc.v' -> `./tests/ice40/regressions/issue0203/ringosc.v' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/tests/ice40/regressions/issue0117/top.json.gz' -> `./tests/ice40/regressions/issue0117/top.json.gz' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/tests/ice40/regressions/issue0117/top.v' -> `./tests/ice40/regressions/issue0117/top.v' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/tests/ice40/regressions/issue0117/top.ys' -> `./tests/ice40/regressions/issue0117/top.ys' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/tests/ice40/regressions/issue0072/top.json.gz' -> `./tests/ice40/regressions/issue0072/top.json.gz' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/tests/ice40/regressions/issue0072/top.npnr' -> `./tests/ice40/regressions/issue0072/top.npnr' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/tests/ice40/regressions/issue0072/top.pcf' -> `./tests/ice40/regressions/issue0072/top.pcf' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/tests/ice40/regressions/issue0072/top.v' -> `./tests/ice40/regressions/issue0072/top.v' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/tests/ice40/regressions/issue0072/top.ys' -> `./tests/ice40/regressions/issue0072/top.ys' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/tests/ice40/regressions/issue0151/top.json.gz' -> `./tests/ice40/regressions/issue0151/top.json.gz' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/tests/ice40/regressions/issue0151/top.npnr' -> `./tests/ice40/regressions/issue0151/top.npnr' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/tests/ice40/regressions/issue0151/top.pcf' -> `./tests/ice40/regressions/issue0151/top.pcf' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/tests/ice40/regressions/issue0151/top.v' -> `./tests/ice40/regressions/issue0151/top.v' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/tests/ice40/regressions/issue0151/top.ys' -> `./tests/ice40/regressions/issue0151/top.ys' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/tests/ice40/regressions/issue0186/test.ys' -> `./tests/ice40/regressions/issue0186/test.ys' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/tests/ice40/regressions/issue0186/pll.v' -> `./tests/ice40/regressions/issue0186/pll.v' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/tests/ice40/regressions/issue0186/test.pcf' -> `./tests/ice40/regressions/issue0186/test.pcf' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/tests/ice40/regressions/issue0186/test.json.gz' -> `./tests/ice40/regressions/issue0186/test.json.gz' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/tests/ice40/regressions/issue0186/test.v' -> `./tests/ice40/regressions/issue0186/test.v' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/tests/ice40/regressions/issue0186/test.npnr' -> `./tests/ice40/regressions/issue0186/test.npnr' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/tests/ice40/regressions/issue0106/top.json.gz' -> `./tests/ice40/regressions/issue0106/top.json.gz' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/tests/ice40/regressions/issue0106/top.npnr' -> `./tests/ice40/regressions/issue0106/top.npnr' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/tests/ice40/regressions/issue0106/top.pcf' -> `./tests/ice40/regressions/issue0106/top.pcf' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/tests/ice40/regressions/issue0106/top.v' -> `./tests/ice40/regressions/issue0106/top.v' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/tests/ice40/regressions/issue0106/top.ys' -> `./tests/ice40/regressions/issue0106/top.ys' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/tests/ice40/regressions/issue0145/top.json.gz' -> `./tests/ice40/regressions/issue0145/top.json.gz' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/tests/ice40/regressions/issue0145/top.npnr' -> `./tests/ice40/regressions/issue0145/top.npnr' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/tests/ice40/regressions/issue0145/top.pcf' -> `./tests/ice40/regressions/issue0145/top.pcf' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/tests/ice40/regressions/issue0145/top.v' -> `./tests/ice40/regressions/issue0145/top.v' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/tests/ice40/regressions/issue0145/top.ys' -> `./tests/ice40/regressions/issue0145/top.ys' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/tests/ice40/regressions/issue0127/top.json.gz' -> `./tests/ice40/regressions/issue0127/top.json.gz' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/tests/ice40/regressions/issue0127/top.npnr' -> `./tests/ice40/regressions/issue0127/top.npnr' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/tests/ice40/regressions/issue0127/top.pcf' -> `./tests/ice40/regressions/issue0127/top.pcf' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/tests/ice40/regressions/pr0226/top.json.gz' -> `./tests/ice40/regressions/pr0226/top.json.gz' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/tests/ice40/regressions/pr0226/top.pcf' -> `./tests/ice40/regressions/pr0226/top.pcf' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/tests/ice40/regressions/issue0098/j4a.json.gz' -> `./tests/ice40/regressions/issue0098/j4a.json.gz' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/tests/ice40/regressions/issue0098/j4a.pcf' -> `./tests/ice40/regressions/issue0098/j4a.pcf' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/tests/ice40/regressions/issue0098/j4a.npnr' -> `./tests/ice40/regressions/issue0098/j4a.npnr' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/tests/ice40/regressions/issue0224/rx_cdr_bbpd.npnr' -> `./tests/ice40/regressions/issue0224/rx_cdr_bbpd.npnr' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/tests/ice40/regressions/issue0224/rx_cdr_bbpd.json.gz' -> `./tests/ice40/regressions/issue0224/rx_cdr_bbpd.json.gz' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/tests/ice40/regressions/issue0183/TopEntity.json.gz' -> `./tests/ice40/regressions/issue0183/TopEntity.json.gz' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/tests/ice40/regressions/issue0183/TopEntity.npnr' -> `./tests/ice40/regressions/issue0183/TopEntity.npnr' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/ice40/picorv32.proj' -> `./ice40/picorv32.proj' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/ice40/arch_pybindings.cc' -> `./ice40/arch_pybindings.cc' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/ice40/.gitignore' -> `./ice40/.gitignore' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/ice40/bitstream.cc' -> `./ice40/bitstream.cc' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/ice40/picorv32.sh' -> `./ice40/picorv32.sh' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/ice40/picorv32_top.v' -> `./ice40/picorv32_top.v' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/ice40/gfx.cc' -> `./ice40/gfx.cc' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/ice40/chipdb.py' -> `./ice40/chipdb.py' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/ice40/main.cc' -> `./ice40/main.cc' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/ice40/arch_pybindings.h' -> `./ice40/arch_pybindings.h' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/ice40/icebreaker.v' -> `./ice40/icebreaker.v' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/ice40/cells.h' -> `./ice40/cells.h' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/ice40/arch.h' -> `./ice40/arch.h' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/ice40/gfx.h' -> `./ice40/gfx.h' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/ice40/arch.cc' -> `./ice40/arch.cc' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/ice40/constids.inc' -> `./ice40/constids.inc' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/ice40/bitstream.h' -> `./ice40/bitstream.h' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/ice40/chains.h' -> `./ice40/chains.h' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/ice40/cells.cc' -> `./ice40/cells.cc' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/ice40/picorv32_benchmark.py' -> `./ice40/picorv32_benchmark.py' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/ice40/icebreaker.ys' -> `./ice40/icebreaker.ys' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/ice40/icebreaker.pcf' -> `./ice40/icebreaker.pcf' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/ice40/tmfuzz.py' -> `./ice40/tmfuzz.py' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/ice40/delay.cc' -> `./ice40/delay.cc' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/ice40/archdefs.h' -> `./ice40/archdefs.h' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/ice40/chains.cc' -> `./ice40/chains.cc' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/ice40/CMakeLists.txt' -> `./ice40/CMakeLists.txt' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/ice40/arch_place.cc' -> `./ice40/arch_place.cc' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/ice40/pcf.cc' -> `./ice40/pcf.cc' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/ice40/pcf.h' -> `./ice40/pcf.h' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/ice40/pack.cc' -> `./ice40/pack.cc' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/ice40/carry_tests/.gitignore' -> `./ice40/carry_tests/.gitignore' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/ice40/carry_tests/counter_tb.v' -> `./ice40/carry_tests/counter_tb.v' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/ice40/carry_tests/test.sh' -> `./ice40/carry_tests/test.sh' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/ice40/carry_tests/counter.v' -> `./ice40/carry_tests/counter.v' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/ice40/carry_tests/test.pcf' -> `./ice40/carry_tests/test.pcf' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/ice40/benchmark/.gitignore' -> `./ice40/benchmark/.gitignore' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/ice40/benchmark/hx8kdemo.v' -> `./ice40/benchmark/hx8kdemo.v' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/ice40/benchmark/report.ipynb' -> `./ice40/benchmark/report.ipynb' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/ice40/benchmark/Makefile' -> `./ice40/benchmark/Makefile' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/ice40/benchmark/simpleuart.v' -> `./ice40/benchmark/simpleuart.v' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/ice40/benchmark/picorv32.v' -> `./ice40/benchmark/picorv32.v' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/ice40/benchmark/spimemio.v' -> `./ice40/benchmark/spimemio.v' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/ice40/benchmark/picosoc.v' -> `./ice40/benchmark/picosoc.v' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/ice40/benchmark/hx8kdemo.pcf' -> `./ice40/benchmark/hx8kdemo.pcf' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/ice40/smoketest/attosoc/.gitignore' -> `./ice40/smoketest/attosoc/.gitignore' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/ice40/smoketest/attosoc/firmware.hex' -> `./ice40/smoketest/attosoc/firmware.hex' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/ice40/smoketest/attosoc/attosoc_tb.v' -> `./ice40/smoketest/attosoc/attosoc_tb.v' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/ice40/smoketest/attosoc/picorv32.v' -> `./ice40/smoketest/attosoc/picorv32.v' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/ice40/smoketest/attosoc/attosoc.pcf' -> `./ice40/smoketest/attosoc/attosoc.pcf' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/ice40/smoketest/attosoc/attosoc.v' -> `./ice40/smoketest/attosoc/attosoc.v' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/ice40/smoketest/attosoc/golden.txt' -> `./ice40/smoketest/attosoc/golden.txt' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/ice40/smoketest/attosoc/smoketest.sh' -> `./ice40/smoketest/attosoc/smoketest.sh' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/ice40/pack_tests/.gitignore' -> `./ice40/pack_tests/.gitignore' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/ice40/pack_tests/test.sh' -> `./ice40/pack_tests/test.sh' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/ice40/pack_tests/ffmodes.v' -> `./ice40/pack_tests/ffmodes.v' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/ice40/pack_tests/place_constr.v' -> `./ice40/pack_tests/place_constr.v' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/ice40/pack_tests/test.pcf' -> `./ice40/pack_tests/test.pcf' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/ice40/pack_tests/locals.v' -> `./ice40/pack_tests/locals.v' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/ice40/examples/floorplan/.gitignore' -> `./ice40/examples/floorplan/.gitignore' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/ice40/examples/floorplan/floorplan.sh' -> `./ice40/examples/floorplan/floorplan.sh' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/ice40/examples/floorplan/floorplan.v' -> `./ice40/examples/floorplan/floorplan.v' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/ice40/examples/floorplan/icebreaker.pcf' -> `./ice40/examples/floorplan/icebreaker.pcf' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/ice40/examples/floorplan/floorplan.py' -> `./ice40/examples/floorplan/floorplan.py' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/ice40/examples/blinky/blinky.v' -> `./ice40/examples/blinky/blinky.v' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/ice40/examples/blinky/blinky.sh' -> `./ice40/examples/blinky/blinky.sh' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/ice40/examples/blinky/blinky_tb.v' -> `./ice40/examples/blinky/blinky_tb.v' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/ice40/examples/blinky/blinky.proj' -> `./ice40/examples/blinky/blinky.proj' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/ice40/examples/blinky/blinky.pcf' -> `./ice40/examples/blinky/blinky.pcf' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/ice40/examples/blinky/blinky.ys' -> `./ice40/examples/blinky/blinky.ys' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/ice40/tests/main.cc' -> `./ice40/tests/main.cc' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/ice40/tests/lp8k.cc' -> `./ice40/tests/lp8k.cc' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/ice40/tests/up5k.cc' -> `./ice40/tests/up5k.cc' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/ice40/tests/hx8k.cc' -> `./ice40/tests/hx8k.cc' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/ice40/tests/lp384.cc' -> `./ice40/tests/lp384.cc' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/ice40/tests/hx1k.cc' -> `./ice40/tests/hx1k.cc' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/ice40/tests/lp1k.cc' -> `./ice40/tests/lp1k.cc' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/json/jsonwrite.cc' -> `./json/jsonwrite.cc' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/json/jsonwrite.h' -> `./json/jsonwrite.h' `/gnu/store/0hw522jskim6x0blqzpjg39hqxxjxp2j-nextpnr-0.9-0.ad76625-checkout/json/CMakeLists.txt' -> `./json/CMakeLists.txt' phase `unpack' succeeded after 0.2 seconds starting phase `unbundle-sanitizers-cmake' phase `unbundle-sanitizers-cmake' succeeded after 0.0 seconds starting phase `patch-usr-bin-file' phase `patch-usr-bin-file' succeeded after 0.0 seconds starting phase `patch-source-shebangs' patch-shebang: ./.github/ci/build_common.sh: changing `/bin/bash' to `/gnu/store/5j353w5171sgv76a7wc8adblxkfcink9-bash-minimal-5.2.37/bin/bash' patch-shebang: ./.github/ci/build_ecp5.sh: changing `/bin/bash' to `/gnu/store/5j353w5171sgv76a7wc8adblxkfcink9-bash-minimal-5.2.37/bin/bash' patch-shebang: ./.github/ci/build_generic.sh: changing `/bin/bash' to `/gnu/store/5j353w5171sgv76a7wc8adblxkfcink9-bash-minimal-5.2.37/bin/bash' patch-shebang: ./.github/ci/build_himbaechel.sh: changing `/bin/bash' to `/gnu/store/5j353w5171sgv76a7wc8adblxkfcink9-bash-minimal-5.2.37/bin/bash' patch-shebang: ./.github/ci/build_ice40.sh: changing `/bin/bash' to `/gnu/store/5j353w5171sgv76a7wc8adblxkfcink9-bash-minimal-5.2.37/bin/bash' patch-shebang: ./.github/ci/build_machxo2.sh: changing `/bin/bash' to `/gnu/store/5j353w5171sgv76a7wc8adblxkfcink9-bash-minimal-5.2.37/bin/bash' patch-shebang: ./.github/ci/build_mistral.sh: changing `/bin/bash' to `/gnu/store/5j353w5171sgv76a7wc8adblxkfcink9-bash-minimal-5.2.37/bin/bash' patch-shebang: ./.github/ci/build_nexus.sh: changing `/bin/bash' to `/gnu/store/5j353w5171sgv76a7wc8adblxkfcink9-bash-minimal-5.2.37/bin/bash' patch-shebang: ./.github/ci/build_rust.sh: changing `/bin/bash' to `/gnu/store/5j353w5171sgv76a7wc8adblxkfcink9-bash-minimal-5.2.37/bin/bash' patch-shebang: ./ecp5/trellis_import.py: changing `/usr/bin/env python3' to `/gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/bin/python3' patch-shebang: ./generic/examples/simple.sh: changing `/usr/bin/env bash' to `/gnu/store/5j353w5171sgv76a7wc8adblxkfcink9-bash-minimal-5.2.37/bin/bash' patch-shebang: ./generic/examples/simtest.sh: changing `/usr/bin/env bash' to `/gnu/store/5j353w5171sgv76a7wc8adblxkfcink9-bash-minimal-5.2.37/bin/bash' patch-shebang: ./generic/viaduct/example/viaduct_example.sh: changing `/usr/bin/env bash' to `/gnu/store/5j353w5171sgv76a7wc8adblxkfcink9-bash-minimal-5.2.37/bin/bash' patch-shebang: ./himbaechel/uarch/xilinx/examples/arty-a35/blinky.sh: changing `/usr/bin/env bash' to `/gnu/store/5j353w5171sgv76a7wc8adblxkfcink9-bash-minimal-5.2.37/bin/bash' patch-shebang: ./himbaechel/uarch/xilinx/examples/bitgen_xray.sh: changing `/usr/bin/env bash' to `/gnu/store/5j353w5171sgv76a7wc8adblxkfcink9-bash-minimal-5.2.37/bin/bash' patch-shebang: ./ice40/carry_tests/test.sh: changing `/usr/bin/env bash' to `/gnu/store/5j353w5171sgv76a7wc8adblxkfcink9-bash-minimal-5.2.37/bin/bash' patch-shebang: ./ice40/chipdb.py: changing `/usr/bin/env python3' to `/gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/bin/python3' patch-shebang: ./ice40/examples/blinky/blinky.sh: changing `/bin/bash' to `/gnu/store/5j353w5171sgv76a7wc8adblxkfcink9-bash-minimal-5.2.37/bin/bash' patch-shebang: ./ice40/examples/floorplan/floorplan.sh: changing `/usr/bin/env bash' to `/gnu/store/5j353w5171sgv76a7wc8adblxkfcink9-bash-minimal-5.2.37/bin/bash' patch-shebang: ./ice40/pack_tests/test.sh: changing `/usr/bin/env bash' to `/gnu/store/5j353w5171sgv76a7wc8adblxkfcink9-bash-minimal-5.2.37/bin/bash' patch-shebang: ./ice40/picorv32.sh: changing `/bin/bash' to `/gnu/store/5j353w5171sgv76a7wc8adblxkfcink9-bash-minimal-5.2.37/bin/bash' patch-shebang: ./ice40/picorv32_benchmark.py: changing `/usr/bin/env python3' to `/gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/bin/python3' patch-shebang: ./ice40/smoketest/attosoc/smoketest.sh: changing `/usr/bin/env bash' to `/gnu/store/5j353w5171sgv76a7wc8adblxkfcink9-bash-minimal-5.2.37/bin/bash' patch-shebang: ./ice40/tmfuzz.py: changing `/usr/bin/env python3' to `/gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/bin/python3' patch-shebang: ./machxo2/examples/demo-vhdl.sh: changing `/bin/sh' to `/gnu/store/5j353w5171sgv76a7wc8adblxkfcink9-bash-minimal-5.2.37/bin/sh' patch-shebang: ./machxo2/examples/demo.sh: changing `/bin/sh' to `/gnu/store/5j353w5171sgv76a7wc8adblxkfcink9-bash-minimal-5.2.37/bin/sh' patch-shebang: ./machxo2/examples/mitertest.sh: changing `/usr/bin/env bash' to `/gnu/store/5j353w5171sgv76a7wc8adblxkfcink9-bash-minimal-5.2.37/bin/bash' patch-shebang: ./machxo2/examples/simple.sh: changing `/usr/bin/env bash' to `/gnu/store/5j353w5171sgv76a7wc8adblxkfcink9-bash-minimal-5.2.37/bin/bash' patch-shebang: ./machxo2/examples/simtest.sh: changing `/usr/bin/env bash' to `/gnu/store/5j353w5171sgv76a7wc8adblxkfcink9-bash-minimal-5.2.37/bin/bash' patch-shebang: ./machxo2/facade_import.py: changing `/usr/bin/env python3' to `/gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/bin/python3' patch-shebang: ./tests/generic/flow/bel-pin/run.sh: changing `/usr/bin/env bash' to `/gnu/store/5j353w5171sgv76a7wc8adblxkfcink9-bash-minimal-5.2.37/bin/bash' patch-shebang: ./tests/generic/flow/run.sh: changing `/usr/bin/env bash' to `/gnu/store/5j353w5171sgv76a7wc8adblxkfcink9-bash-minimal-5.2.37/bin/bash' phase `patch-source-shebangs' succeeded after 0.2 seconds starting phase `configure' source directory: "/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source" (relative from build: "../source") build directory: "/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build" running 'cmake' with arguments ("../source" "-C /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/guix-file.HsNhOY" "-GUnix Makefiles" "-DARCH=generic;ice40;ecp5;himbaechel" "-DBUILD_GUI=ON" "-DUSE_OPENMP=ON" "-DBUILD_TESTS=ON" "-DHIMBAECHEL_UARCH=ng-ultra;gowin;gatemate" "-DHIMBAECHEL_NGULTRA_DEVICES=ng-ultra" "-DHIMBAECHEL_SPLIT=ON" "-DHIMBAECHEL_PRJBEYOND_DB=/gnu/store/myv1iajncnbvxvia4cm3q4sfvkvlfdqj-prjbeyond-db-0-0.f49f66b/share/prjbeyond-db" "-DHIMBAECHEL_PEPPERCORN_PATH=/gnu/store/8pfqm2iv1ianih271zvr91vr333063b8-prjpeppercorn-1.8-db/share/prjpeppercorn" "-DEXPORT_BBA_FILES=/gnu/store/davzxg5m27gz2djfkghkz3pb7ys8gvgi-nextpnr-ice40-0.9-0.ad76625-bba/share/nextpnr/bba-files" "-DCURRENT_GIT_VERSION=nextpnr-0.9-0.ad76625" "-DICESTORM_INSTALL_PREFIX=/gnu/store/sv59jcpqqdkp5k4z2pl52si59why46i8-icestorm-1.1" "-DTRELLIS_INSTALL_PREFIX=/gnu/store/awv4vdazri35d4f02ka6bjxmf91xrhaw-prjtrellis-1.4-1.92345b7") loading initial cache file /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/guix-file.HsNhOY -- The CXX compiler identification is GNU 14.3.0 -- Detecting CXX compiler ABI info -- Detecting CXX compiler ABI info - done -- Check for working CXX compiler: /gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/bin/c++ - skipped -- Detecting CXX compile features -- Detecting CXX compile features - done -- Building with IPO -- C++ compiler does NOT support #embed -- Performing Test CMAKE_HAVE_LIBC_PTHREAD -- Performing Test CMAKE_HAVE_LIBC_PTHREAD - Success -- Found Threads: TRUE -- Performing Test HAS_Wno-unused-parameter -- Performing Test HAS_Wno-unused-parameter - Success -- Performing Test HAS_Wno-missing-field-initializers -- Performing Test HAS_Wno-missing-field-initializers - Success -- Performing Test HAS_Wno-array-bounds -- Performing Test HAS_Wno-array-bounds - Success -- Performing Test HAS_Wno-format-truncation -- Performing Test HAS_Wno-format-truncation - Success -- Found Boost: /gnu/store/4vxzslxx9fcvhcq0cqjr168shg8s1kwa-boost-1.83.0/lib/cmake/Boost-1.83.0/BoostConfig.cmake (found version "1.83.0") found components: filesystem program_options iostreams system thread -- Found Python3: /gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/bin/python3.11 (found suitable version "3.11.14", minimum required is "3.5") found components: Interpreter Development.Embed -- Found pybind11: /gnu/store/3702dz9kiacbldynjm02a61ni75p7hk6-pybind11-2.13.6/include (found version "2.13.6") -- The C compiler identification is GNU 14.3.0 -- Detecting C compiler ABI info -- Detecting C compiler ABI info - done -- Check for working C compiler: /gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/bin/gcc - skipped -- Detecting C compile features -- Detecting C compile features - done -- Found GTest: /gnu/store/8plnc3lpnfhyqyy4khcjzm7lhnvvnnw7-googletest-1.17.0/lib/cmake/GTest/GTestConfig.cmake (found version "1.17.0") -- Found Boost: /gnu/store/4vxzslxx9fcvhcq0cqjr168shg8s1kwa-boost-1.83.0/lib/cmake/Boost-1.83.0/BoostConfig.cmake (found version "1.83.0") found components: program_options system -- Exporting .bba files to /gnu/store/davzxg5m27gz2djfkghkz3pb7ys8gvgi-nextpnr-ice40-0.9-0.ad76625-bba/share/nextpnr/bba-files -- Configuring architecture: generic Using system imgui library: IMGUI_INCLUDE_DIR=/gnu/store/lkr00hf38xnc265pziwm68bpii3xdg8q-imgui-1.86/include/imgui IMGUI_LIB=/gnu/store/lkr00hf38xnc265pziwm68bpii3xdg8q-imgui-1.86/lib/libimgui.so Using system qtimgui library: QTIMGUI_INCLUDE_DIR=/gnu/store/ny8visn9x0y1r3bfylrirfbjprg3nh15-qtimgui-0.0-0.48d64a7/include/qtimgui QTIMGUI_LIB=/gnu/store/ny8visn9x0y1r3bfylrirfbjprg3nh15-qtimgui-0.0-0.48d64a7/lib/libqt_imgui_widgets.so -- Configuring architecture: ice40 -- IceStorm install prefix: /gnu/store/sv59jcpqqdkp5k4z2pl52si59why46i8-icestorm-1.1 -- icebox data directory: /gnu/store/sv59jcpqqdkp5k4z2pl52si59why46i8-icestorm-1.1/share/icebox Using system imgui library: IMGUI_INCLUDE_DIR=/gnu/store/lkr00hf38xnc265pziwm68bpii3xdg8q-imgui-1.86/include/imgui IMGUI_LIB=/gnu/store/lkr00hf38xnc265pziwm68bpii3xdg8q-imgui-1.86/lib/libimgui.so Using system qtimgui library: QTIMGUI_INCLUDE_DIR=/gnu/store/ny8visn9x0y1r3bfylrirfbjprg3nh15-qtimgui-0.0-0.48d64a7/include/qtimgui QTIMGUI_LIB=/gnu/store/ny8visn9x0y1r3bfylrirfbjprg3nh15-qtimgui-0.0-0.48d64a7/lib/libqt_imgui_widgets.so -- Enabled iCE40 devices: 384;1k;5k;u4k;8k -- Configuring architecture: ecp5 -- Trellis install prefix: /gnu/store/awv4vdazri35d4f02ka6bjxmf91xrhaw-prjtrellis-1.4-1.92345b7 -- Searching for pytrellis in: /usr/local/lib;/usr/lib;//lib;/gnu/store/d5c8i3b549r412lkhddggyfx9mqdphs7-cmake-minimal-3.31.10/lib;/gnu/store/0ic4fw2cga4kfqqgvjijjzgjc3skdb13-nextpnr-ice40-0.9-0.ad76625/lib;/usr/X11R6/lib;/usr/pkg/lib;/opt/lib;/usr/lib/X11 -- Trellis library directory: /gnu/store/awv4vdazri35d4f02ka6bjxmf91xrhaw-prjtrellis-1.4-1.92345b7/lib/trellis -- Trellis data directory: /gnu/store/awv4vdazri35d4f02ka6bjxmf91xrhaw-prjtrellis-1.4-1.92345b7/share/trellis Using system imgui library: IMGUI_INCLUDE_DIR=/gnu/store/lkr00hf38xnc265pziwm68bpii3xdg8q-imgui-1.86/include/imgui IMGUI_LIB=/gnu/store/lkr00hf38xnc265pziwm68bpii3xdg8q-imgui-1.86/lib/libimgui.so Using system qtimgui library: QTIMGUI_INCLUDE_DIR=/gnu/store/ny8visn9x0y1r3bfylrirfbjprg3nh15-qtimgui-0.0-0.48d64a7/include/qtimgui QTIMGUI_LIB=/gnu/store/ny8visn9x0y1r3bfylrirfbjprg3nh15-qtimgui-0.0-0.48d64a7/lib/libqt_imgui_widgets.so -- Enabled ECP5 devices: 25k;45k;85k -- Configuring architecture: himbaechel -- Configuring Himbächel microarchitecture: ng-ultra Using system imgui library: IMGUI_INCLUDE_DIR=/gnu/store/lkr00hf38xnc265pziwm68bpii3xdg8q-imgui-1.86/include/imgui IMGUI_LIB=/gnu/store/lkr00hf38xnc265pziwm68bpii3xdg8q-imgui-1.86/lib/libimgui.so Using system qtimgui library: QTIMGUI_INCLUDE_DIR=/gnu/store/ny8visn9x0y1r3bfylrirfbjprg3nh15-qtimgui-0.0-0.48d64a7/include/qtimgui QTIMGUI_LIB=/gnu/store/ny8visn9x0y1r3bfylrirfbjprg3nh15-qtimgui-0.0-0.48d64a7/lib/libqt_imgui_widgets.so -- Enabled Himbaechel-NG-Ultra devices: ng-ultra -- Configuring Himbächel microarchitecture: gowin -- Apycula install prefix: (not set, using Python: /gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/bin/python3.11) Using system imgui library: IMGUI_INCLUDE_DIR=/gnu/store/lkr00hf38xnc265pziwm68bpii3xdg8q-imgui-1.86/include/imgui IMGUI_LIB=/gnu/store/lkr00hf38xnc265pziwm68bpii3xdg8q-imgui-1.86/lib/libimgui.so Using system qtimgui library: QTIMGUI_INCLUDE_DIR=/gnu/store/ny8visn9x0y1r3bfylrirfbjprg3nh15-qtimgui-0.0-0.48d64a7/include/qtimgui QTIMGUI_LIB=/gnu/store/ny8visn9x0y1r3bfylrirfbjprg3nh15-qtimgui-0.0-0.48d64a7/lib/libqt_imgui_widgets.so -- Enabled Himbaechel-Gowin devices: GW1N-1;GW1NZ-1;GW1N-4;GW1N-9;GW1N-9C;GW1NS-4;GW2A-18;GW2A-18C;GW5A-25A -- Configuring Himbächel microarchitecture: gatemate Using system imgui library: IMGUI_INCLUDE_DIR=/gnu/store/lkr00hf38xnc265pziwm68bpii3xdg8q-imgui-1.86/include/imgui IMGUI_LIB=/gnu/store/lkr00hf38xnc265pziwm68bpii3xdg8q-imgui-1.86/lib/libimgui.so Using system qtimgui library: QTIMGUI_INCLUDE_DIR=/gnu/store/ny8visn9x0y1r3bfylrirfbjprg3nh15-qtimgui-0.0-0.48d64a7/include/qtimgui QTIMGUI_LIB=/gnu/store/ny8visn9x0y1r3bfylrirfbjprg3nh15-qtimgui-0.0-0.48d64a7/lib/libqt_imgui_widgets.so -- Enabled Himbaechel-GateMate devices: CCGM1A1;CCGM1A2 -- Configuring done (6.2s) -- Generating done (0.4s) -- Build files have been written to: /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build phase `configure' succeeded after 6.7 seconds starting phase `patch-generated-file-shebangs' phase `patch-generated-file-shebangs' succeeded after 0.2 seconds starting phase `build' Change Dir: '/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build' Run Build Command(s): /gnu/store/d5c8i3b549r412lkhddggyfx9mqdphs7-cmake-minimal-3.31.10/bin/cmake -E env VERBOSE=1 /gnu/store/mx9i9dnjx34lkx8k8r79876q2f5dclvr-make-4.4.1/bin/make -f Makefile -j128 -l 128 /gnu/store/d5c8i3b549r412lkhddggyfx9mqdphs7-cmake-minimal-3.31.10/bin/cmake -S/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source -B/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build --check-build-system CMakeFiles/Makefile.cmake 0 /gnu/store/d5c8i3b549r412lkhddggyfx9mqdphs7-cmake-minimal-3.31.10/bin/cmake -E cmake_progress_start /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/CMakeFiles /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build//CMakeFiles/progress.marks /gnu/store/mx9i9dnjx34lkx8k8r79876q2f5dclvr-make-4.4.1/bin/make -f CMakeFiles/Makefile2 all make[1]: Entering directory '/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build' /gnu/store/mx9i9dnjx34lkx8k8r79876q2f5dclvr-make-4.4.1/bin/make -f 3rdparty/json11/CMakeFiles/json11.dir/build.make 3rdparty/json11/CMakeFiles/json11.dir/depend /gnu/store/mx9i9dnjx34lkx8k8r79876q2f5dclvr-make-4.4.1/bin/make -f 3rdparty/oourafft/CMakeFiles/oourafft.dir/build.make 3rdparty/oourafft/CMakeFiles/oourafft.dir/depend /gnu/store/mx9i9dnjx34lkx8k8r79876q2f5dclvr-make-4.4.1/bin/make -f bba/CMakeFiles/bbasm.dir/build.make bba/CMakeFiles/bbasm.dir/depend /gnu/store/mx9i9dnjx34lkx8k8r79876q2f5dclvr-make-4.4.1/bin/make -f common/kernel/CMakeFiles/nextpnr_kernel.dir/build.make common/kernel/CMakeFiles/nextpnr_kernel.dir/depend /gnu/store/mx9i9dnjx34lkx8k8r79876q2f5dclvr-make-4.4.1/bin/make -f common/place/CMakeFiles/nextpnr_place.dir/build.make common/place/CMakeFiles/nextpnr_place.dir/depend /gnu/store/mx9i9dnjx34lkx8k8r79876q2f5dclvr-make-4.4.1/bin/make -f common/route/CMakeFiles/nextpnr_route.dir/build.make common/route/CMakeFiles/nextpnr_route.dir/depend /gnu/store/mx9i9dnjx34lkx8k8r79876q2f5dclvr-make-4.4.1/bin/make -f 3rdparty/QtPropertyBrowser/src/CMakeFiles/QtPropertyBrowser_autogen_timestamp_deps.dir/build.make 3rdparty/QtPropertyBrowser/src/CMakeFiles/QtPropertyBrowser_autogen_timestamp_deps.dir/depend /gnu/store/mx9i9dnjx34lkx8k8r79876q2f5dclvr-make-4.4.1/bin/make -f generic/CMakeFiles/nextpnr-generic-chipdb.dir/build.make generic/CMakeFiles/nextpnr-generic-chipdb.dir/depend make[2]: Entering directory '/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build' make[2]: Entering directory '/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build' cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build && /gnu/store/d5c8i3b549r412lkhddggyfx9mqdphs7-cmake-minimal-3.31.10/bin/cmake -E cmake_depends "Unix Makefiles" /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/json11 /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/3rdparty/json11 /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/3rdparty/json11/CMakeFiles/json11.dir/DependInfo.cmake "--color=" cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build && /gnu/store/d5c8i3b549r412lkhddggyfx9mqdphs7-cmake-minimal-3.31.10/bin/cmake -E cmake_depends "Unix Makefiles" /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/oourafft /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/3rdparty/oourafft /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/3rdparty/oourafft/CMakeFiles/oourafft.dir/DependInfo.cmake "--color=" make[2]: Entering directory '/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build' cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build && /gnu/store/d5c8i3b549r412lkhddggyfx9mqdphs7-cmake-minimal-3.31.10/bin/cmake -E cmake_depends "Unix Makefiles" /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/bba /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/bba /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/bba/CMakeFiles/bbasm.dir/DependInfo.cmake "--color=" make[2]: Entering directory '/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build' cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build && /gnu/store/d5c8i3b549r412lkhddggyfx9mqdphs7-cmake-minimal-3.31.10/bin/cmake -E cmake_depends "Unix Makefiles" /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/common/kernel /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/common/kernel/CMakeFiles/nextpnr_kernel.dir/DependInfo.cmake "--color=" make[2]: Entering directory '/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build' cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build && /gnu/store/d5c8i3b549r412lkhddggyfx9mqdphs7-cmake-minimal-3.31.10/bin/cmake -E cmake_depends "Unix Makefiles" /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/place /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/common/place /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/common/place/CMakeFiles/nextpnr_place.dir/DependInfo.cmake "--color=" make[2]: Entering directory '/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build' cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build && /gnu/store/d5c8i3b549r412lkhddggyfx9mqdphs7-cmake-minimal-3.31.10/bin/cmake -E cmake_depends "Unix Makefiles" /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/route /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/common/route /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/common/route/CMakeFiles/nextpnr_route.dir/DependInfo.cmake "--color=" make[2]: Entering directory '/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build' cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build && /gnu/store/d5c8i3b549r412lkhddggyfx9mqdphs7-cmake-minimal-3.31.10/bin/cmake -E cmake_depends "Unix Makefiles" /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/QtPropertyBrowser/src /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/3rdparty/QtPropertyBrowser/src /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/3rdparty/QtPropertyBrowser/src/CMakeFiles/QtPropertyBrowser_autogen_timestamp_deps.dir/DependInfo.cmake "--color=" make[2]: Entering directory '/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build' cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build && /gnu/store/d5c8i3b549r412lkhddggyfx9mqdphs7-cmake-minimal-3.31.10/bin/cmake -E cmake_depends "Unix Makefiles" /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/generic /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/generic /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/generic/CMakeFiles/nextpnr-generic-chipdb.dir/DependInfo.cmake "--color=" make[2]: Leaving directory '/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build' make[2]: Leaving directory '/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build' make[2]: Leaving directory '/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build' make[2]: Leaving directory '/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build' /gnu/store/mx9i9dnjx34lkx8k8r79876q2f5dclvr-make-4.4.1/bin/make -f 3rdparty/oourafft/CMakeFiles/oourafft.dir/build.make 3rdparty/oourafft/CMakeFiles/oourafft.dir/build /gnu/store/mx9i9dnjx34lkx8k8r79876q2f5dclvr-make-4.4.1/bin/make -f 3rdparty/json11/CMakeFiles/json11.dir/build.make 3rdparty/json11/CMakeFiles/json11.dir/build /gnu/store/mx9i9dnjx34lkx8k8r79876q2f5dclvr-make-4.4.1/bin/make -f bba/CMakeFiles/bbasm.dir/build.make bba/CMakeFiles/bbasm.dir/build /gnu/store/mx9i9dnjx34lkx8k8r79876q2f5dclvr-make-4.4.1/bin/make -f common/kernel/CMakeFiles/nextpnr_kernel.dir/build.make common/kernel/CMakeFiles/nextpnr_kernel.dir/build make[2]: Leaving directory '/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build' make[2]: Leaving directory '/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build' make[2]: Leaving directory '/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build' make[2]: Leaving directory '/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build' /gnu/store/mx9i9dnjx34lkx8k8r79876q2f5dclvr-make-4.4.1/bin/make -f common/route/CMakeFiles/nextpnr_route.dir/build.make common/route/CMakeFiles/nextpnr_route.dir/build /gnu/store/mx9i9dnjx34lkx8k8r79876q2f5dclvr-make-4.4.1/bin/make -f common/place/CMakeFiles/nextpnr_place.dir/build.make common/place/CMakeFiles/nextpnr_place.dir/build make[2]: Entering directory '/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build' /gnu/store/mx9i9dnjx34lkx8k8r79876q2f5dclvr-make-4.4.1/bin/make -f 3rdparty/QtPropertyBrowser/src/CMakeFiles/QtPropertyBrowser_autogen_timestamp_deps.dir/build.make 3rdparty/QtPropertyBrowser/src/CMakeFiles/QtPropertyBrowser_autogen_timestamp_deps.dir/build make[2]: Nothing to be done for '3rdparty/oourafft/CMakeFiles/oourafft.dir/build'. make[2]: Leaving directory '/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build' /gnu/store/mx9i9dnjx34lkx8k8r79876q2f5dclvr-make-4.4.1/bin/make -f generic/CMakeFiles/nextpnr-generic-chipdb.dir/build.make generic/CMakeFiles/nextpnr-generic-chipdb.dir/build make[2]: Entering directory '/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build' make[2]: Entering directory '/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build' make[2]: Entering directory '/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build' make[2]: Nothing to be done for 'common/kernel/CMakeFiles/nextpnr_kernel.dir/build'. make[2]: Leaving directory '/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build' make[2]: Entering directory '/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build' make[2]: Entering directory '/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build' make[2]: Nothing to be done for '3rdparty/QtPropertyBrowser/src/CMakeFiles/QtPropertyBrowser_autogen_timestamp_deps.dir/build'. make[2]: Leaving directory '/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build' make[2]: Nothing to be done for 'common/place/CMakeFiles/nextpnr_place.dir/build'. make[2]: Leaving directory '/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build' make[2]: Entering directory '/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build' make[2]: Nothing to be done for 'common/route/CMakeFiles/nextpnr_route.dir/build'. make[2]: Leaving directory '/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build' make[2]: Entering directory '/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build' make[2]: Nothing to be done for 'generic/CMakeFiles/nextpnr-generic-chipdb.dir/build'. make[2]: Leaving directory '/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build' [ 0%] Built target oourafft [ 0%] Building CXX object bba/CMakeFiles/bbasm.dir/main.cc.o [ 0%] Building CXX object 3rdparty/json11/CMakeFiles/json11.dir/json11.cpp.o [ 0%] Built target nextpnr_place [ 0%] Built target nextpnr_route [ 0%] Built target nextpnr_kernel [ 0%] Built target QtPropertyBrowser_autogen_timestamp_deps cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/bba && /gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/bin/c++ -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DNO_RUST -Dbbasm_EXPORTS -I/gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/include/python3.11 -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -fdiagnostics-color=always -MD -MT bba/CMakeFiles/bbasm.dir/main.cc.o -MF CMakeFiles/bbasm.dir/main.cc.o.d -o CMakeFiles/bbasm.dir/main.cc.o -c /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/bba/main.cc cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/3rdparty/json11 && /gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/bin/c++ -DNO_RUST -I/gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/include/python3.11 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/json11/. -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -fdiagnostics-color=always -MD -MT 3rdparty/json11/CMakeFiles/json11.dir/json11.cpp.o -MF CMakeFiles/json11.dir/json11.cpp.o.d -o CMakeFiles/json11.dir/json11.cpp.o -c /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/json11/json11.cpp /gnu/store/mx9i9dnjx34lkx8k8r79876q2f5dclvr-make-4.4.1/bin/make -f 3rdparty/QtPropertyBrowser/src/CMakeFiles/QtPropertyBrowser_autogen.dir/build.make 3rdparty/QtPropertyBrowser/src/CMakeFiles/QtPropertyBrowser_autogen.dir/depend [ 0%] Built target nextpnr-generic-chipdb make[2]: Entering directory '/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build' cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build && /gnu/store/d5c8i3b549r412lkhddggyfx9mqdphs7-cmake-minimal-3.31.10/bin/cmake -E cmake_depends "Unix Makefiles" /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/QtPropertyBrowser/src /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/3rdparty/QtPropertyBrowser/src /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/3rdparty/QtPropertyBrowser/src/CMakeFiles/QtPropertyBrowser_autogen.dir/DependInfo.cmake "--color=" make[2]: Leaving directory '/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build' /gnu/store/mx9i9dnjx34lkx8k8r79876q2f5dclvr-make-4.4.1/bin/make -f 3rdparty/QtPropertyBrowser/src/CMakeFiles/QtPropertyBrowser_autogen.dir/build.make 3rdparty/QtPropertyBrowser/src/CMakeFiles/QtPropertyBrowser_autogen.dir/build make[2]: Entering directory '/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build' [ 0%] Automatic MOC for target QtPropertyBrowser cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/3rdparty/QtPropertyBrowser/src && /gnu/store/d5c8i3b549r412lkhddggyfx9mqdphs7-cmake-minimal-3.31.10/bin/cmake -E cmake_autogen /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/3rdparty/QtPropertyBrowser/src/CMakeFiles/QtPropertyBrowser_autogen.dir/AutogenInfo.json RelWithDebInfo AutoGen: Refreshing parse cache because it doesn't exist. AutoMoc: Generating "BIN:/3rdparty/QtPropertyBrowser/src/QtPropertyBrowser_autogen/moc_predefs.h", because it doesn't exist. /gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/bin/c++ -std=gnu++17 -w -dM -E /gnu/store/d5c8i3b549r412lkhddggyfx9mqdphs7-cmake-minimal-3.31.10/share/cmake-3.31/Modules/CMakeCXXCompilerABI.cpp -DNO_RUST -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_WIDGETS_LIB -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/3rdparty/QtPropertyBrowser/src -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/QtPropertyBrowser/src -I/gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/include/python3.11 -I/gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5 -I/gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtWidgets -I/gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtGui -I/gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtCore -I/gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/lib/qt5/mkspecs/linux-g++ -I/gnu/store/f76qdd5i5aiyhii5vfg8inpcwgv81dyk-mesa-25.2.3/include -I/gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include -I/gnu/store/fjnqbp82xlk7grb1phi377ifvy2ag4lp-iverilog-12.0/include -I/gnu/store/8plnc3lpnfhyqyy4khcjzm7lhnvvnnw7-googletest-1.17.0/include -I/gnu/store/7ykml1rwb7dmgsic1nvcwf3f8sj4wg6g-bzip2-1.0.8/include -I/gnu/store/rnj26qklbw75sn0b74i802acshrijy66-file-5.46/include -I/gnu/store/5189xfjv3av3djx824k3vplzgam5yk1q-gawk-5.3.0/include -I/gnu/store/74kzd0881xh5b1b3cdq4pva26pywlpph-xz-5.4.5/include -I/gnu/store/mx9i9dnjx34lkx8k8r79876q2f5dclvr-make-4.4.1/include -I/gnu/store/x7x8y4c3ccn33sq7r9nb77y5d5mz3970-binutils-2.44/include -I/gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/include/c++ -I/gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/include -I/gnu/store/50jqiigxwa1s9xljqrl1wh85yncqnybr-glibc-2.41/include -I/gnu/store/4vxzslxx9fcvhcq0cqjr168shg8s1kwa-boost-1.83.0/include -I/gnu/store/r94kayv6y93mj1hgc13k11klha366dsw-eigen-3.4.0/include -I/gnu/store/3702dz9kiacbldynjm02a61ni75p7hk6-pybind11-2.13.6/include -I/gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/include -I/gnu/store/g34v9di9pw9d3p0z4gavygqp8197szky-qtwayland-5.15.17/include -I/gnu/store/ny8visn9x0y1r3bfylrirfbjprg3nh15-qtimgui-0.0-0.48d64a7/include -I/gnu/store/1zqziv4s5q2y8d3byhbzfz61hrpy4xrz-linux-libre-headers-6.12.17/include -I/gnu/store/lkr00hf38xnc265pziwm68bpii3xdg8q-imgui-1.86/include -I/gnu/store/52bc4k6kgp9cv4pinns4yfp5lagj8zqr-xorgproto-2024.1/include -I/gnu/store/9b6xbajrzba0jzvjpdw8sbnwk1iq6ja2-libxxf86vm-1.1.6/include -I/gnu/store/515l3riya9ffp834kd0fa0gn3xzqrdck-libxshmfence-1.3.3/include -I/gnu/store/mv71i3v40b2gqvqy1rfkc219cfihgwc0-libxfixes-6.0.1/include -I/gnu/store/4ab335v9nxqqfzvia87ccvgq33masz9a-libxdamage-1.1.6/include -I/gnu/store/vznnvmjy5q54gk0qvik9gi181li09wpf-libx11-1.8.12/include -I/gnu/store/dzbj2yv45vzrazlr6ywmzd828j4nx02p-libvdpau-1.5/include -I/gnu/store/56qrv5j1l2qfm1c4x0csidbprbq9ypcy-libdrm-2.4.124/include -I/gnu/store/1c41hrnadwb0a1af7n3skvj1kxznq29x-libxext-1.3.6/include -I/gnu/store/v60dfd1nbw4j0lk08ha0jfdmkin8xnpi-libxcb-1.17.0/include -I/gnu/store/v06qx4fqqy30fg4y3ls6p4c3g7wrmj89-libpciaccess-0.18.1/include -I/gnu/store/j461yp7q0sl48bbaj7f244aqycs3jwgl-libxdmcp-1.1.5/include -I/gnu/store/ywpvw7g27qcfr4clhdk2xxb5rijqfns3-libxau-1.0.12/include -I/gnu/store/rwwdavvfvn3d5nwwvmd4sf6bnw1qra88-zlib-1.3.1/include -I/gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/include/c++/aarch64-unknown-linux-gnu -I/gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/include/c++/backward -I/gnu/store/6ngp1bahsp96qmjnimvhf3hfi6abklsk-gcc-14.3.0-lib/lib/gcc/aarch64-unknown-linux-gnu/14.3.0/include -I/gnu/store/6ngp1bahsp96qmjnimvhf3hfi6abklsk-gcc-14.3.0-lib/lib/gcc/aarch64-unknown-linux-gnu/14.3.0/include-fixed AutoGen: Parsing "SRC:/3rdparty/QtPropertyBrowser/src/qtbuttonpropertybrowser.h" AutoGen: Parsing "SRC:/3rdparty/QtPropertyBrowser/src/qteditorfactory.h" AutoGen: Parsing "SRC:/3rdparty/QtPropertyBrowser/src/qtgroupboxpropertybrowser.h" AutoGen: Parsing "SRC:/3rdparty/QtPropertyBrowser/src/qtpropertybrowser.h" AutoGen: Parsing "SRC:/3rdparty/QtPropertyBrowser/src/qtpropertybrowserutils_p.h" AutoGen: Parsing "SRC:/3rdparty/QtPropertyBrowser/src/qtpropertymanager.h" AutoGen: Parsing "SRC:/3rdparty/QtPropertyBrowser/src/qttreepropertybrowser.h" AutoGen: Parsing "SRC:/3rdparty/QtPropertyBrowser/src/qtvariantproperty.h" AutoGen: Parsing "SRC:/3rdparty/QtPropertyBrowser/src/qtbuttonpropertybrowser.cpp" AutoGen: Parsing "SRC:/3rdparty/QtPropertyBrowser/src/qteditorfactory.cpp" AutoGen: Parsing "SRC:/3rdparty/QtPropertyBrowser/src/qtgroupboxpropertybrowser.cpp" AutoGen: Parsing "SRC:/3rdparty/QtPropertyBrowser/src/qtpropertybrowser.cpp" AutoGen: Parsing "SRC:/3rdparty/QtPropertyBrowser/src/qtpropertybrowserutils.cpp" AutoGen: Parsing "SRC:/3rdparty/QtPropertyBrowser/src/qtpropertymanager.cpp" AutoGen: Parsing "SRC:/3rdparty/QtPropertyBrowser/src/qttreepropertybrowser.cpp" AutoGen: Parsing "SRC:/3rdparty/QtPropertyBrowser/src/qtvariantproperty.cpp" AutoMoc: Generating "BIN:/3rdparty/QtPropertyBrowser/src/QtPropertyBrowser_autogen/include/moc_qtbuttonpropertybrowser.cpp", because it doesn't exist, from "SRC:/3rdparty/QtPropertyBrowser/src/qtbuttonpropertybrowser.h" /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/bin/moc -DNO_RUST -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_WIDGETS_LIB -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/3rdparty/QtPropertyBrowser/src -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/QtPropertyBrowser/src -I/gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/include/python3.11 -I/gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5 -I/gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtWidgets -I/gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtGui -I/gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtCore -I/gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/lib/qt5/mkspecs/linux-g++ -I/gnu/store/f76qdd5i5aiyhii5vfg8inpcwgv81dyk-mesa-25.2.3/include -I/gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include -I/gnu/store/fjnqbp82xlk7grb1phi377ifvy2ag4lp-iverilog-12.0/include -I/gnu/store/8plnc3lpnfhyqyy4khcjzm7lhnvvnnw7-googletest-1.17.0/include -I/gnu/store/7ykml1rwb7dmgsic1nvcwf3f8sj4wg6g-bzip2-1.0.8/include -I/gnu/store/rnj26qklbw75sn0b74i802acshrijy66-file-5.46/include -I/gnu/store/5189xfjv3av3djx824k3vplzgam5yk1q-gawk-5.3.0/include -I/gnu/store/74kzd0881xh5b1b3cdq4pva26pywlpph-xz-5.4.5/include -I/gnu/store/mx9i9dnjx34lkx8k8r79876q2f5dclvr-make-4.4.1/include -I/gnu/store/x7x8y4c3ccn33sq7r9nb77y5d5mz3970-binutils-2.44/include -I/gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/include/c++ -I/gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/include -I/gnu/store/50jqiigxwa1s9xljqrl1wh85yncqnybr-glibc-2.41/include -I/gnu/store/4vxzslxx9fcvhcq0cqjr168shg8s1kwa-boost-1.83.0/include -I/gnu/store/r94kayv6y93mj1hgc13k11klha366dsw-eigen-3.4.0/include -I/gnu/store/3702dz9kiacbldynjm02a61ni75p7hk6-pybind11-2.13.6/include -I/gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/include -I/gnu/store/g34v9di9pw9d3p0z4gavygqp8197szky-qtwayland-5.15.17/include -I/gnu/store/ny8visn9x0y1r3bfylrirfbjprg3nh15-qtimgui-0.0-0.48d64a7/include -I/gnu/store/1zqziv4s5q2y8d3byhbzfz61hrpy4xrz-linux-libre-headers-6.12.17/include -I/gnu/store/lkr00hf38xnc265pziwm68bpii3xdg8q-imgui-1.86/include -I/gnu/store/52bc4k6kgp9cv4pinns4yfp5lagj8zqr-xorgproto-2024.1/include -I/gnu/store/9b6xbajrzba0jzvjpdw8sbnwk1iq6ja2-libxxf86vm-1.1.6/include -I/gnu/store/515l3riya9ffp834kd0fa0gn3xzqrdck-libxshmfence-1.3.3/include -I/gnu/store/mv71i3v40b2gqvqy1rfkc219cfihgwc0-libxfixes-6.0.1/include -I/gnu/store/4ab335v9nxqqfzvia87ccvgq33masz9a-libxdamage-1.1.6/include -I/gnu/store/vznnvmjy5q54gk0qvik9gi181li09wpf-libx11-1.8.12/include -I/gnu/store/dzbj2yv45vzrazlr6ywmzd828j4nx02p-libvdpau-1.5/include -I/gnu/store/56qrv5j1l2qfm1c4x0csidbprbq9ypcy-libdrm-2.4.124/include -I/gnu/store/1c41hrnadwb0a1af7n3skvj1kxznq29x-libxext-1.3.6/include -I/gnu/store/v60dfd1nbw4j0lk08ha0jfdmkin8xnpi-libxcb-1.17.0/include -I/gnu/store/v06qx4fqqy30fg4y3ls6p4c3g7wrmj89-libpciaccess-0.18.1/include -I/gnu/store/j461yp7q0sl48bbaj7f244aqycs3jwgl-libxdmcp-1.1.5/include -I/gnu/store/ywpvw7g27qcfr4clhdk2xxb5rijqfns3-libxau-1.0.12/include -I/gnu/store/rwwdavvfvn3d5nwwvmd4sf6bnw1qra88-zlib-1.3.1/include -I/gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/include/c++/aarch64-unknown-linux-gnu -I/gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/include/c++/backward -I/gnu/store/6ngp1bahsp96qmjnimvhf3hfi6abklsk-gcc-14.3.0-lib/lib/gcc/aarch64-unknown-linux-gnu/14.3.0/include -I/gnu/store/6ngp1bahsp96qmjnimvhf3hfi6abklsk-gcc-14.3.0-lib/lib/gcc/aarch64-unknown-linux-gnu/14.3.0/include-fixed --include /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/3rdparty/QtPropertyBrowser/src/QtPropertyBrowser_autogen/moc_predefs.h --output-dep-file -o /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/3rdparty/QtPropertyBrowser/src/QtPropertyBrowser_autogen/include/moc_qtbuttonpropertybrowser.cpp /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/QtPropertyBrowser/src/qtbuttonpropertybrowser.h AutoMoc: Reading dependencies from "BIN:/3rdparty/QtPropertyBrowser/src/QtPropertyBrowser_autogen/include/moc_qtbuttonpropertybrowser.cpp.d" AutoMoc: Generating "BIN:/3rdparty/QtPropertyBrowser/src/QtPropertyBrowser_autogen/include/moc_qteditorfactory.cpp", because it doesn't exist, from "SRC:/3rdparty/QtPropertyBrowser/src/qteditorfactory.h" /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/bin/moc -DNO_RUST -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_WIDGETS_LIB -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/3rdparty/QtPropertyBrowser/src -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/QtPropertyBrowser/src -I/gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/include/python3.11 -I/gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5 -I/gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtWidgets -I/gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtGui -I/gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtCore -I/gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/lib/qt5/mkspecs/linux-g++ -I/gnu/store/f76qdd5i5aiyhii5vfg8inpcwgv81dyk-mesa-25.2.3/include -I/gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include -I/gnu/store/fjnqbp82xlk7grb1phi377ifvy2ag4lp-iverilog-12.0/include -I/gnu/store/8plnc3lpnfhyqyy4khcjzm7lhnvvnnw7-googletest-1.17.0/include -I/gnu/store/7ykml1rwb7dmgsic1nvcwf3f8sj4wg6g-bzip2-1.0.8/include -I/gnu/store/rnj26qklbw75sn0b74i802acshrijy66-file-5.46/include -I/gnu/store/5189xfjv3av3djx824k3vplzgam5yk1q-gawk-5.3.0/include -I/gnu/store/74kzd0881xh5b1b3cdq4pva26pywlpph-xz-5.4.5/include -I/gnu/store/mx9i9dnjx34lkx8k8r79876q2f5dclvr-make-4.4.1/include -I/gnu/store/x7x8y4c3ccn33sq7r9nb77y5d5mz3970-binutils-2.44/include -I/gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/include/c++ -I/gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/include -I/gnu/store/50jqiigxwa1s9xljqrl1wh85yncqnybr-glibc-2.41/include -I/gnu/store/4vxzslxx9fcvhcq0cqjr168shg8s1kwa-boost-1.83.0/include -I/gnu/store/r94kayv6y93mj1hgc13k11klha366dsw-eigen-3.4.0/include -I/gnu/store/3702dz9kiacbldynjm02a61ni75p7hk6-pybind11-2.13.6/include -I/gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/include -I/gnu/store/g34v9di9pw9d3p0z4gavygqp8197szky-qtwayland-5.15.17/include -I/gnu/store/ny8visn9x0y1r3bfylrirfbjprg3nh15-qtimgui-0.0-0.48d64a7/include -I/gnu/store/1zqziv4s5q2y8d3byhbzfz61hrpy4xrz-linux-libre-headers-6.12.17/include -I/gnu/store/lkr00hf38xnc265pziwm68bpii3xdg8q-imgui-1.86/include -I/gnu/store/52bc4k6kgp9cv4pinns4yfp5lagj8zqr-xorgproto-2024.1/include -I/gnu/store/9b6xbajrzba0jzvjpdw8sbnwk1iq6ja2-libxxf86vm-1.1.6/include -I/gnu/store/515l3riya9ffp834kd0fa0gn3xzqrdck-libxshmfence-1.3.3/include -I/gnu/store/mv71i3v40b2gqvqy1rfkc219cfihgwc0-libxfixes-6.0.1/include -I/gnu/store/4ab335v9nxqqfzvia87ccvgq33masz9a-libxdamage-1.1.6/include -I/gnu/store/vznnvmjy5q54gk0qvik9gi181li09wpf-libx11-1.8.12/include -I/gnu/store/dzbj2yv45vzrazlr6ywmzd828j4nx02p-libvdpau-1.5/include -I/gnu/store/56qrv5j1l2qfm1c4x0csidbprbq9ypcy-libdrm-2.4.124/include -I/gnu/store/1c41hrnadwb0a1af7n3skvj1kxznq29x-libxext-1.3.6/include -I/gnu/store/v60dfd1nbw4j0lk08ha0jfdmkin8xnpi-libxcb-1.17.0/include -I/gnu/store/v06qx4fqqy30fg4y3ls6p4c3g7wrmj89-libpciaccess-0.18.1/include -I/gnu/store/j461yp7q0sl48bbaj7f244aqycs3jwgl-libxdmcp-1.1.5/include -I/gnu/store/ywpvw7g27qcfr4clhdk2xxb5rijqfns3-libxau-1.0.12/include -I/gnu/store/rwwdavvfvn3d5nwwvmd4sf6bnw1qra88-zlib-1.3.1/include -I/gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/include/c++/aarch64-unknown-linux-gnu -I/gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/include/c++/backward -I/gnu/store/6ngp1bahsp96qmjnimvhf3hfi6abklsk-gcc-14.3.0-lib/lib/gcc/aarch64-unknown-linux-gnu/14.3.0/include -I/gnu/store/6ngp1bahsp96qmjnimvhf3hfi6abklsk-gcc-14.3.0-lib/lib/gcc/aarch64-unknown-linux-gnu/14.3.0/include-fixed --include /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/3rdparty/QtPropertyBrowser/src/QtPropertyBrowser_autogen/moc_predefs.h --output-dep-file -o /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/3rdparty/QtPropertyBrowser/src/QtPropertyBrowser_autogen/include/moc_qteditorfactory.cpp /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/QtPropertyBrowser/src/qteditorfactory.h AutoMoc: Reading dependencies from "BIN:/3rdparty/QtPropertyBrowser/src/QtPropertyBrowser_autogen/include/moc_qteditorfactory.cpp.d" AutoMoc: Generating "BIN:/3rdparty/QtPropertyBrowser/src/QtPropertyBrowser_autogen/include/moc_qtgroupboxpropertybrowser.cpp", because it doesn't exist, from "SRC:/3rdparty/QtPropertyBrowser/src/qtgroupboxpropertybrowser.h" /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/bin/moc -DNO_RUST -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_WIDGETS_LIB -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/3rdparty/QtPropertyBrowser/src -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/QtPropertyBrowser/src -I/gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/include/python3.11 -I/gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5 -I/gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtWidgets -I/gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtGui -I/gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtCore -I/gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/lib/qt5/mkspecs/linux-g++ -I/gnu/store/f76qdd5i5aiyhii5vfg8inpcwgv81dyk-mesa-25.2.3/include -I/gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include -I/gnu/store/fjnqbp82xlk7grb1phi377ifvy2ag4lp-iverilog-12.0/include -I/gnu/store/8plnc3lpnfhyqyy4khcjzm7lhnvvnnw7-googletest-1.17.0/include -I/gnu/store/7ykml1rwb7dmgsic1nvcwf3f8sj4wg6g-bzip2-1.0.8/include -I/gnu/store/rnj26qklbw75sn0b74i802acshrijy66-file-5.46/include -I/gnu/store/5189xfjv3av3djx824k3vplzgam5yk1q-gawk-5.3.0/include -I/gnu/store/74kzd0881xh5b1b3cdq4pva26pywlpph-xz-5.4.5/include -I/gnu/store/mx9i9dnjx34lkx8k8r79876q2f5dclvr-make-4.4.1/include -I/gnu/store/x7x8y4c3ccn33sq7r9nb77y5d5mz3970-binutils-2.44/include -I/gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/include/c++ -I/gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/include -I/gnu/store/50jqiigxwa1s9xljqrl1wh85yncqnybr-glibc-2.41/include -I/gnu/store/4vxzslxx9fcvhcq0cqjr168shg8s1kwa-boost-1.83.0/include -I/gnu/store/r94kayv6y93mj1hgc13k11klha366dsw-eigen-3.4.0/include -I/gnu/store/3702dz9kiacbldynjm02a61ni75p7hk6-pybind11-2.13.6/include -I/gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/include -I/gnu/store/g34v9di9pw9d3p0z4gavygqp8197szky-qtwayland-5.15.17/include -I/gnu/store/ny8visn9x0y1r3bfylrirfbjprg3nh15-qtimgui-0.0-0.48d64a7/include -I/gnu/store/1zqziv4s5q2y8d3byhbzfz61hrpy4xrz-linux-libre-headers-6.12.17/include -I/gnu/store/lkr00hf38xnc265pziwm68bpii3xdg8q-imgui-1.86/include -I/gnu/store/52bc4k6kgp9cv4pinns4yfp5lagj8zqr-xorgproto-2024.1/include -I/gnu/store/9b6xbajrzba0jzvjpdw8sbnwk1iq6ja2-libxxf86vm-1.1.6/include -I/gnu/store/515l3riya9ffp834kd0fa0gn3xzqrdck-libxshmfence-1.3.3/include -I/gnu/store/mv71i3v40b2gqvqy1rfkc219cfihgwc0-libxfixes-6.0.1/include -I/gnu/store/4ab335v9nxqqfzvia87ccvgq33masz9a-libxdamage-1.1.6/include -I/gnu/store/vznnvmjy5q54gk0qvik9gi181li09wpf-libx11-1.8.12/include -I/gnu/store/dzbj2yv45vzrazlr6ywmzd828j4nx02p-libvdpau-1.5/include -I/gnu/store/56qrv5j1l2qfm1c4x0csidbprbq9ypcy-libdrm-2.4.124/include -I/gnu/store/1c41hrnadwb0a1af7n3skvj1kxznq29x-libxext-1.3.6/include -I/gnu/store/v60dfd1nbw4j0lk08ha0jfdmkin8xnpi-libxcb-1.17.0/include -I/gnu/store/v06qx4fqqy30fg4y3ls6p4c3g7wrmj89-libpciaccess-0.18.1/include -I/gnu/store/j461yp7q0sl48bbaj7f244aqycs3jwgl-libxdmcp-1.1.5/include -I/gnu/store/ywpvw7g27qcfr4clhdk2xxb5rijqfns3-libxau-1.0.12/include -I/gnu/store/rwwdavvfvn3d5nwwvmd4sf6bnw1qra88-zlib-1.3.1/include -I/gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/include/c++/aarch64-unknown-linux-gnu -I/gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/include/c++/backward -I/gnu/store/6ngp1bahsp96qmjnimvhf3hfi6abklsk-gcc-14.3.0-lib/lib/gcc/aarch64-unknown-linux-gnu/14.3.0/include -I/gnu/store/6ngp1bahsp96qmjnimvhf3hfi6abklsk-gcc-14.3.0-lib/lib/gcc/aarch64-unknown-linux-gnu/14.3.0/include-fixed --include /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/3rdparty/QtPropertyBrowser/src/QtPropertyBrowser_autogen/moc_predefs.h --output-dep-file -o /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/3rdparty/QtPropertyBrowser/src/QtPropertyBrowser_autogen/include/moc_qtgroupboxpropertybrowser.cpp /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/QtPropertyBrowser/src/qtgroupboxpropertybrowser.h AutoMoc: Reading dependencies from "BIN:/3rdparty/QtPropertyBrowser/src/QtPropertyBrowser_autogen/include/moc_qtgroupboxpropertybrowser.cpp.d" AutoMoc: Generating "BIN:/3rdparty/QtPropertyBrowser/src/QtPropertyBrowser_autogen/include/moc_qtpropertybrowser.cpp", because it doesn't exist, from "SRC:/3rdparty/QtPropertyBrowser/src/qtpropertybrowser.h" /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/bin/moc -DNO_RUST -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_WIDGETS_LIB -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/3rdparty/QtPropertyBrowser/src -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/QtPropertyBrowser/src -I/gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/include/python3.11 -I/gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5 -I/gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtWidgets -I/gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtGui -I/gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtCore -I/gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/lib/qt5/mkspecs/linux-g++ -I/gnu/store/f76qdd5i5aiyhii5vfg8inpcwgv81dyk-mesa-25.2.3/include -I/gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include -I/gnu/store/fjnqbp82xlk7grb1phi377ifvy2ag4lp-iverilog-12.0/include -I/gnu/store/8plnc3lpnfhyqyy4khcjzm7lhnvvnnw7-googletest-1.17.0/include -I/gnu/store/7ykml1rwb7dmgsic1nvcwf3f8sj4wg6g-bzip2-1.0.8/include -I/gnu/store/rnj26qklbw75sn0b74i802acshrijy66-file-5.46/include -I/gnu/store/5189xfjv3av3djx824k3vplzgam5yk1q-gawk-5.3.0/include -I/gnu/store/74kzd0881xh5b1b3cdq4pva26pywlpph-xz-5.4.5/include -I/gnu/store/mx9i9dnjx34lkx8k8r79876q2f5dclvr-make-4.4.1/include -I/gnu/store/x7x8y4c3ccn33sq7r9nb77y5d5mz3970-binutils-2.44/include -I/gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/include/c++ -I/gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/include -I/gnu/store/50jqiigxwa1s9xljqrl1wh85yncqnybr-glibc-2.41/include -I/gnu/store/4vxzslxx9fcvhcq0cqjr168shg8s1kwa-boost-1.83.0/include -I/gnu/store/r94kayv6y93mj1hgc13k11klha366dsw-eigen-3.4.0/include -I/gnu/store/3702dz9kiacbldynjm02a61ni75p7hk6-pybind11-2.13.6/include -I/gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/include -I/gnu/store/g34v9di9pw9d3p0z4gavygqp8197szky-qtwayland-5.15.17/include -I/gnu/store/ny8visn9x0y1r3bfylrirfbjprg3nh15-qtimgui-0.0-0.48d64a7/include -I/gnu/store/1zqziv4s5q2y8d3byhbzfz61hrpy4xrz-linux-libre-headers-6.12.17/include -I/gnu/store/lkr00hf38xnc265pziwm68bpii3xdg8q-imgui-1.86/include -I/gnu/store/52bc4k6kgp9cv4pinns4yfp5lagj8zqr-xorgproto-2024.1/include -I/gnu/store/9b6xbajrzba0jzvjpdw8sbnwk1iq6ja2-libxxf86vm-1.1.6/include -I/gnu/store/515l3riya9ffp834kd0fa0gn3xzqrdck-libxshmfence-1.3.3/include -I/gnu/store/mv71i3v40b2gqvqy1rfkc219cfihgwc0-libxfixes-6.0.1/include -I/gnu/store/4ab335v9nxqqfzvia87ccvgq33masz9a-libxdamage-1.1.6/include -I/gnu/store/vznnvmjy5q54gk0qvik9gi181li09wpf-libx11-1.8.12/include -I/gnu/store/dzbj2yv45vzrazlr6ywmzd828j4nx02p-libvdpau-1.5/include -I/gnu/store/56qrv5j1l2qfm1c4x0csidbprbq9ypcy-libdrm-2.4.124/include -I/gnu/store/1c41hrnadwb0a1af7n3skvj1kxznq29x-libxext-1.3.6/include -I/gnu/store/v60dfd1nbw4j0lk08ha0jfdmkin8xnpi-libxcb-1.17.0/include -I/gnu/store/v06qx4fqqy30fg4y3ls6p4c3g7wrmj89-libpciaccess-0.18.1/include -I/gnu/store/j461yp7q0sl48bbaj7f244aqycs3jwgl-libxdmcp-1.1.5/include -I/gnu/store/ywpvw7g27qcfr4clhdk2xxb5rijqfns3-libxau-1.0.12/include -I/gnu/store/rwwdavvfvn3d5nwwvmd4sf6bnw1qra88-zlib-1.3.1/include -I/gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/include/c++/aarch64-unknown-linux-gnu -I/gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/include/c++/backward -I/gnu/store/6ngp1bahsp96qmjnimvhf3hfi6abklsk-gcc-14.3.0-lib/lib/gcc/aarch64-unknown-linux-gnu/14.3.0/include -I/gnu/store/6ngp1bahsp96qmjnimvhf3hfi6abklsk-gcc-14.3.0-lib/lib/gcc/aarch64-unknown-linux-gnu/14.3.0/include-fixed --include /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/3rdparty/QtPropertyBrowser/src/QtPropertyBrowser_autogen/moc_predefs.h --output-dep-file -o /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/3rdparty/QtPropertyBrowser/src/QtPropertyBrowser_autogen/include/moc_qtpropertybrowser.cpp /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/QtPropertyBrowser/src/qtpropertybrowser.h AutoMoc: Reading dependencies from "BIN:/3rdparty/QtPropertyBrowser/src/QtPropertyBrowser_autogen/include/moc_qtpropertybrowser.cpp.d" AutoMoc: Generating "BIN:/3rdparty/QtPropertyBrowser/src/QtPropertyBrowser_autogen/EWIEGA46WW/moc_qtpropertybrowserutils_p.cpp", because it doesn't exist, from "SRC:/3rdparty/QtPropertyBrowser/src/qtpropertybrowserutils_p.h" /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/bin/moc -DNO_RUST -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_WIDGETS_LIB -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/3rdparty/QtPropertyBrowser/src -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/QtPropertyBrowser/src -I/gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/include/python3.11 -I/gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5 -I/gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtWidgets -I/gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtGui -I/gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtCore -I/gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/lib/qt5/mkspecs/linux-g++ -I/gnu/store/f76qdd5i5aiyhii5vfg8inpcwgv81dyk-mesa-25.2.3/include -I/gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include -I/gnu/store/fjnqbp82xlk7grb1phi377ifvy2ag4lp-iverilog-12.0/include -I/gnu/store/8plnc3lpnfhyqyy4khcjzm7lhnvvnnw7-googletest-1.17.0/include -I/gnu/store/7ykml1rwb7dmgsic1nvcwf3f8sj4wg6g-bzip2-1.0.8/include -I/gnu/store/rnj26qklbw75sn0b74i802acshrijy66-file-5.46/include -I/gnu/store/5189xfjv3av3djx824k3vplzgam5yk1q-gawk-5.3.0/include -I/gnu/store/74kzd0881xh5b1b3cdq4pva26pywlpph-xz-5.4.5/include -I/gnu/store/mx9i9dnjx34lkx8k8r79876q2f5dclvr-make-4.4.1/include -I/gnu/store/x7x8y4c3ccn33sq7r9nb77y5d5mz3970-binutils-2.44/include -I/gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/include/c++ -I/gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/include -I/gnu/store/50jqiigxwa1s9xljqrl1wh85yncqnybr-glibc-2.41/include -I/gnu/store/4vxzslxx9fcvhcq0cqjr168shg8s1kwa-boost-1.83.0/include -I/gnu/store/r94kayv6y93mj1hgc13k11klha366dsw-eigen-3.4.0/include -I/gnu/store/3702dz9kiacbldynjm02a61ni75p7hk6-pybind11-2.13.6/include -I/gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/include -I/gnu/store/g34v9di9pw9d3p0z4gavygqp8197szky-qtwayland-5.15.17/include -I/gnu/store/ny8visn9x0y1r3bfylrirfbjprg3nh15-qtimgui-0.0-0.48d64a7/include -I/gnu/store/1zqziv4s5q2y8d3byhbzfz61hrpy4xrz-linux-libre-headers-6.12.17/include -I/gnu/store/lkr00hf38xnc265pziwm68bpii3xdg8q-imgui-1.86/include -I/gnu/store/52bc4k6kgp9cv4pinns4yfp5lagj8zqr-xorgproto-2024.1/include -I/gnu/store/9b6xbajrzba0jzvjpdw8sbnwk1iq6ja2-libxxf86vm-1.1.6/include -I/gnu/store/515l3riya9ffp834kd0fa0gn3xzqrdck-libxshmfence-1.3.3/include -I/gnu/store/mv71i3v40b2gqvqy1rfkc219cfihgwc0-libxfixes-6.0.1/include -I/gnu/store/4ab335v9nxqqfzvia87ccvgq33masz9a-libxdamage-1.1.6/include -I/gnu/store/vznnvmjy5q54gk0qvik9gi181li09wpf-libx11-1.8.12/include -I/gnu/store/dzbj2yv45vzrazlr6ywmzd828j4nx02p-libvdpau-1.5/include -I/gnu/store/56qrv5j1l2qfm1c4x0csidbprbq9ypcy-libdrm-2.4.124/include -I/gnu/store/1c41hrnadwb0a1af7n3skvj1kxznq29x-libxext-1.3.6/include -I/gnu/store/v60dfd1nbw4j0lk08ha0jfdmkin8xnpi-libxcb-1.17.0/include -I/gnu/store/v06qx4fqqy30fg4y3ls6p4c3g7wrmj89-libpciaccess-0.18.1/include -I/gnu/store/j461yp7q0sl48bbaj7f244aqycs3jwgl-libxdmcp-1.1.5/include -I/gnu/store/ywpvw7g27qcfr4clhdk2xxb5rijqfns3-libxau-1.0.12/include -I/gnu/store/rwwdavvfvn3d5nwwvmd4sf6bnw1qra88-zlib-1.3.1/include -I/gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/include/c++/aarch64-unknown-linux-gnu -I/gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/include/c++/backward -I/gnu/store/6ngp1bahsp96qmjnimvhf3hfi6abklsk-gcc-14.3.0-lib/lib/gcc/aarch64-unknown-linux-gnu/14.3.0/include -I/gnu/store/6ngp1bahsp96qmjnimvhf3hfi6abklsk-gcc-14.3.0-lib/lib/gcc/aarch64-unknown-linux-gnu/14.3.0/include-fixed --include /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/3rdparty/QtPropertyBrowser/src/QtPropertyBrowser_autogen/moc_predefs.h --output-dep-file -o /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/3rdparty/QtPropertyBrowser/src/QtPropertyBrowser_autogen/EWIEGA46WW/moc_qtpropertybrowserutils_p.cpp /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/QtPropertyBrowser/src/qtpropertybrowserutils_p.h AutoMoc: Reading dependencies from "BIN:/3rdparty/QtPropertyBrowser/src/QtPropertyBrowser_autogen/EWIEGA46WW/moc_qtpropertybrowserutils_p.cpp.d" AutoMoc: Generating "BIN:/3rdparty/QtPropertyBrowser/src/QtPropertyBrowser_autogen/include/moc_qtpropertymanager.cpp", because it doesn't exist, from "SRC:/3rdparty/QtPropertyBrowser/src/qtpropertymanager.h" /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/bin/moc -DNO_RUST -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_WIDGETS_LIB -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/3rdparty/QtPropertyBrowser/src -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/QtPropertyBrowser/src -I/gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/include/python3.11 -I/gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5 -I/gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtWidgets -I/gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtGui -I/gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtCore -I/gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/lib/qt5/mkspecs/linux-g++ -I/gnu/store/f76qdd5i5aiyhii5vfg8inpcwgv81dyk-mesa-25.2.3/include -I/gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include -I/gnu/store/fjnqbp82xlk7grb1phi377ifvy2ag4lp-iverilog-12.0/include -I/gnu/store/8plnc3lpnfhyqyy4khcjzm7lhnvvnnw7-googletest-1.17.0/include -I/gnu/store/7ykml1rwb7dmgsic1nvcwf3f8sj4wg6g-bzip2-1.0.8/include -I/gnu/store/rnj26qklbw75sn0b74i802acshrijy66-file-5.46/include -I/gnu/store/5189xfjv3av3djx824k3vplzgam5yk1q-gawk-5.3.0/include -I/gnu/store/74kzd0881xh5b1b3cdq4pva26pywlpph-xz-5.4.5/include -I/gnu/store/mx9i9dnjx34lkx8k8r79876q2f5dclvr-make-4.4.1/include -I/gnu/store/x7x8y4c3ccn33sq7r9nb77y5d5mz3970-binutils-2.44/include -I/gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/include/c++ -I/gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/include -I/gnu/store/50jqiigxwa1s9xljqrl1wh85yncqnybr-glibc-2.41/include -I/gnu/store/4vxzslxx9fcvhcq0cqjr168shg8s1kwa-boost-1.83.0/include -I/gnu/store/r94kayv6y93mj1hgc13k11klha366dsw-eigen-3.4.0/include -I/gnu/store/3702dz9kiacbldynjm02a61ni75p7hk6-pybind11-2.13.6/include -I/gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/include -I/gnu/store/g34v9di9pw9d3p0z4gavygqp8197szky-qtwayland-5.15.17/include -I/gnu/store/ny8visn9x0y1r3bfylrirfbjprg3nh15-qtimgui-0.0-0.48d64a7/include -I/gnu/store/1zqziv4s5q2y8d3byhbzfz61hrpy4xrz-linux-libre-headers-6.12.17/include -I/gnu/store/lkr00hf38xnc265pziwm68bpii3xdg8q-imgui-1.86/include -I/gnu/store/52bc4k6kgp9cv4pinns4yfp5lagj8zqr-xorgproto-2024.1/include -I/gnu/store/9b6xbajrzba0jzvjpdw8sbnwk1iq6ja2-libxxf86vm-1.1.6/include -I/gnu/store/515l3riya9ffp834kd0fa0gn3xzqrdck-libxshmfence-1.3.3/include -I/gnu/store/mv71i3v40b2gqvqy1rfkc219cfihgwc0-libxfixes-6.0.1/include -I/gnu/store/4ab335v9nxqqfzvia87ccvgq33masz9a-libxdamage-1.1.6/include -I/gnu/store/vznnvmjy5q54gk0qvik9gi181li09wpf-libx11-1.8.12/include -I/gnu/store/dzbj2yv45vzrazlr6ywmzd828j4nx02p-libvdpau-1.5/include -I/gnu/store/56qrv5j1l2qfm1c4x0csidbprbq9ypcy-libdrm-2.4.124/include -I/gnu/store/1c41hrnadwb0a1af7n3skvj1kxznq29x-libxext-1.3.6/include -I/gnu/store/v60dfd1nbw4j0lk08ha0jfdmkin8xnpi-libxcb-1.17.0/include -I/gnu/store/v06qx4fqqy30fg4y3ls6p4c3g7wrmj89-libpciaccess-0.18.1/include -I/gnu/store/j461yp7q0sl48bbaj7f244aqycs3jwgl-libxdmcp-1.1.5/include -I/gnu/store/ywpvw7g27qcfr4clhdk2xxb5rijqfns3-libxau-1.0.12/include -I/gnu/store/rwwdavvfvn3d5nwwvmd4sf6bnw1qra88-zlib-1.3.1/include -I/gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/include/c++/aarch64-unknown-linux-gnu -I/gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/include/c++/backward -I/gnu/store/6ngp1bahsp96qmjnimvhf3hfi6abklsk-gcc-14.3.0-lib/lib/gcc/aarch64-unknown-linux-gnu/14.3.0/include -I/gnu/store/6ngp1bahsp96qmjnimvhf3hfi6abklsk-gcc-14.3.0-lib/lib/gcc/aarch64-unknown-linux-gnu/14.3.0/include-fixed --include /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/3rdparty/QtPropertyBrowser/src/QtPropertyBrowser_autogen/moc_predefs.h --output-dep-file -o /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/3rdparty/QtPropertyBrowser/src/QtPropertyBrowser_autogen/include/moc_qtpropertymanager.cpp /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/QtPropertyBrowser/src/qtpropertymanager.h AutoMoc: Reading dependencies from "BIN:/3rdparty/QtPropertyBrowser/src/QtPropertyBrowser_autogen/include/moc_qtpropertymanager.cpp.d" AutoMoc: Generating "BIN:/3rdparty/QtPropertyBrowser/src/QtPropertyBrowser_autogen/include/moc_qttreepropertybrowser.cpp", because it doesn't exist, from "SRC:/3rdparty/QtPropertyBrowser/src/qttreepropertybrowser.h" /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/bin/moc -DNO_RUST -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_WIDGETS_LIB -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/3rdparty/QtPropertyBrowser/src -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/QtPropertyBrowser/src -I/gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/include/python3.11 -I/gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5 -I/gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtWidgets -I/gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtGui -I/gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtCore -I/gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/lib/qt5/mkspecs/linux-g++ -I/gnu/store/f76qdd5i5aiyhii5vfg8inpcwgv81dyk-mesa-25.2.3/include -I/gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include -I/gnu/store/fjnqbp82xlk7grb1phi377ifvy2ag4lp-iverilog-12.0/include -I/gnu/store/8plnc3lpnfhyqyy4khcjzm7lhnvvnnw7-googletest-1.17.0/include -I/gnu/store/7ykml1rwb7dmgsic1nvcwf3f8sj4wg6g-bzip2-1.0.8/include -I/gnu/store/rnj26qklbw75sn0b74i802acshrijy66-file-5.46/include -I/gnu/store/5189xfjv3av3djx824k3vplzgam5yk1q-gawk-5.3.0/include -I/gnu/store/74kzd0881xh5b1b3cdq4pva26pywlpph-xz-5.4.5/include -I/gnu/store/mx9i9dnjx34lkx8k8r79876q2f5dclvr-make-4.4.1/include -I/gnu/store/x7x8y4c3ccn33sq7r9nb77y5d5mz3970-binutils-2.44/include -I/gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/include/c++ -I/gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/include -I/gnu/store/50jqiigxwa1s9xljqrl1wh85yncqnybr-glibc-2.41/include -I/gnu/store/4vxzslxx9fcvhcq0cqjr168shg8s1kwa-boost-1.83.0/include -I/gnu/store/r94kayv6y93mj1hgc13k11klha366dsw-eigen-3.4.0/include -I/gnu/store/3702dz9kiacbldynjm02a61ni75p7hk6-pybind11-2.13.6/include -I/gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/include -I/gnu/store/g34v9di9pw9d3p0z4gavygqp8197szky-qtwayland-5.15.17/include -I/gnu/store/ny8visn9x0y1r3bfylrirfbjprg3nh15-qtimgui-0.0-0.48d64a7/include -I/gnu/store/1zqziv4s5q2y8d3byhbzfz61hrpy4xrz-linux-libre-headers-6.12.17/include -I/gnu/store/lkr00hf38xnc265pziwm68bpii3xdg8q-imgui-1.86/include -I/gnu/store/52bc4k6kgp9cv4pinns4yfp5lagj8zqr-xorgproto-2024.1/include -I/gnu/store/9b6xbajrzba0jzvjpdw8sbnwk1iq6ja2-libxxf86vm-1.1.6/include -I/gnu/store/515l3riya9ffp834kd0fa0gn3xzqrdck-libxshmfence-1.3.3/include -I/gnu/store/mv71i3v40b2gqvqy1rfkc219cfihgwc0-libxfixes-6.0.1/include -I/gnu/store/4ab335v9nxqqfzvia87ccvgq33masz9a-libxdamage-1.1.6/include -I/gnu/store/vznnvmjy5q54gk0qvik9gi181li09wpf-libx11-1.8.12/include -I/gnu/store/dzbj2yv45vzrazlr6ywmzd828j4nx02p-libvdpau-1.5/include -I/gnu/store/56qrv5j1l2qfm1c4x0csidbprbq9ypcy-libdrm-2.4.124/include -I/gnu/store/1c41hrnadwb0a1af7n3skvj1kxznq29x-libxext-1.3.6/include -I/gnu/store/v60dfd1nbw4j0lk08ha0jfdmkin8xnpi-libxcb-1.17.0/include -I/gnu/store/v06qx4fqqy30fg4y3ls6p4c3g7wrmj89-libpciaccess-0.18.1/include -I/gnu/store/j461yp7q0sl48bbaj7f244aqycs3jwgl-libxdmcp-1.1.5/include -I/gnu/store/ywpvw7g27qcfr4clhdk2xxb5rijqfns3-libxau-1.0.12/include -I/gnu/store/rwwdavvfvn3d5nwwvmd4sf6bnw1qra88-zlib-1.3.1/include -I/gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/include/c++/aarch64-unknown-linux-gnu -I/gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/include/c++/backward -I/gnu/store/6ngp1bahsp96qmjnimvhf3hfi6abklsk-gcc-14.3.0-lib/lib/gcc/aarch64-unknown-linux-gnu/14.3.0/include -I/gnu/store/6ngp1bahsp96qmjnimvhf3hfi6abklsk-gcc-14.3.0-lib/lib/gcc/aarch64-unknown-linux-gnu/14.3.0/include-fixed --include /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/3rdparty/QtPropertyBrowser/src/QtPropertyBrowser_autogen/moc_predefs.h --output-dep-file -o /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/3rdparty/QtPropertyBrowser/src/QtPropertyBrowser_autogen/include/moc_qttreepropertybrowser.cpp /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/QtPropertyBrowser/src/qttreepropertybrowser.h AutoMoc: Reading dependencies from "BIN:/3rdparty/QtPropertyBrowser/src/QtPropertyBrowser_autogen/include/moc_qttreepropertybrowser.cpp.d" AutoMoc: Generating "BIN:/3rdparty/QtPropertyBrowser/src/QtPropertyBrowser_autogen/include/moc_qtvariantproperty.cpp", because it doesn't exist, from "SRC:/3rdparty/QtPropertyBrowser/src/qtvariantproperty.h" /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/bin/moc -DNO_RUST -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_WIDGETS_LIB -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/3rdparty/QtPropertyBrowser/src -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/QtPropertyBrowser/src -I/gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/include/python3.11 -I/gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5 -I/gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtWidgets -I/gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtGui -I/gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtCore -I/gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/lib/qt5/mkspecs/linux-g++ -I/gnu/store/f76qdd5i5aiyhii5vfg8inpcwgv81dyk-mesa-25.2.3/include -I/gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include -I/gnu/store/fjnqbp82xlk7grb1phi377ifvy2ag4lp-iverilog-12.0/include -I/gnu/store/8plnc3lpnfhyqyy4khcjzm7lhnvvnnw7-googletest-1.17.0/include -I/gnu/store/7ykml1rwb7dmgsic1nvcwf3f8sj4wg6g-bzip2-1.0.8/include -I/gnu/store/rnj26qklbw75sn0b74i802acshrijy66-file-5.46/include -I/gnu/store/5189xfjv3av3djx824k3vplzgam5yk1q-gawk-5.3.0/include -I/gnu/store/74kzd0881xh5b1b3cdq4pva26pywlpph-xz-5.4.5/include -I/gnu/store/mx9i9dnjx34lkx8k8r79876q2f5dclvr-make-4.4.1/include -I/gnu/store/x7x8y4c3ccn33sq7r9nb77y5d5mz3970-binutils-2.44/include -I/gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/include/c++ -I/gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/include -I/gnu/store/50jqiigxwa1s9xljqrl1wh85yncqnybr-glibc-2.41/include -I/gnu/store/4vxzslxx9fcvhcq0cqjr168shg8s1kwa-boost-1.83.0/include -I/gnu/store/r94kayv6y93mj1hgc13k11klha366dsw-eigen-3.4.0/include -I/gnu/store/3702dz9kiacbldynjm02a61ni75p7hk6-pybind11-2.13.6/include -I/gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/include -I/gnu/store/g34v9di9pw9d3p0z4gavygqp8197szky-qtwayland-5.15.17/include -I/gnu/store/ny8visn9x0y1r3bfylrirfbjprg3nh15-qtimgui-0.0-0.48d64a7/include -I/gnu/store/1zqziv4s5q2y8d3byhbzfz61hrpy4xrz-linux-libre-headers-6.12.17/include -I/gnu/store/lkr00hf38xnc265pziwm68bpii3xdg8q-imgui-1.86/include -I/gnu/store/52bc4k6kgp9cv4pinns4yfp5lagj8zqr-xorgproto-2024.1/include -I/gnu/store/9b6xbajrzba0jzvjpdw8sbnwk1iq6ja2-libxxf86vm-1.1.6/include -I/gnu/store/515l3riya9ffp834kd0fa0gn3xzqrdck-libxshmfence-1.3.3/include -I/gnu/store/mv71i3v40b2gqvqy1rfkc219cfihgwc0-libxfixes-6.0.1/include -I/gnu/store/4ab335v9nxqqfzvia87ccvgq33masz9a-libxdamage-1.1.6/include -I/gnu/store/vznnvmjy5q54gk0qvik9gi181li09wpf-libx11-1.8.12/include -I/gnu/store/dzbj2yv45vzrazlr6ywmzd828j4nx02p-libvdpau-1.5/include -I/gnu/store/56qrv5j1l2qfm1c4x0csidbprbq9ypcy-libdrm-2.4.124/include -I/gnu/store/1c41hrnadwb0a1af7n3skvj1kxznq29x-libxext-1.3.6/include -I/gnu/store/v60dfd1nbw4j0lk08ha0jfdmkin8xnpi-libxcb-1.17.0/include -I/gnu/store/v06qx4fqqy30fg4y3ls6p4c3g7wrmj89-libpciaccess-0.18.1/include -I/gnu/store/j461yp7q0sl48bbaj7f244aqycs3jwgl-libxdmcp-1.1.5/include -I/gnu/store/ywpvw7g27qcfr4clhdk2xxb5rijqfns3-libxau-1.0.12/include -I/gnu/store/rwwdavvfvn3d5nwwvmd4sf6bnw1qra88-zlib-1.3.1/include -I/gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/include/c++/aarch64-unknown-linux-gnu -I/gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/include/c++/backward -I/gnu/store/6ngp1bahsp96qmjnimvhf3hfi6abklsk-gcc-14.3.0-lib/lib/gcc/aarch64-unknown-linux-gnu/14.3.0/include -I/gnu/store/6ngp1bahsp96qmjnimvhf3hfi6abklsk-gcc-14.3.0-lib/lib/gcc/aarch64-unknown-linux-gnu/14.3.0/include-fixed --include /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/3rdparty/QtPropertyBrowser/src/QtPropertyBrowser_autogen/moc_predefs.h --output-dep-file -o /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/3rdparty/QtPropertyBrowser/src/QtPropertyBrowser_autogen/include/moc_qtvariantproperty.cpp /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/QtPropertyBrowser/src/qtvariantproperty.h AutoMoc: Reading dependencies from "BIN:/3rdparty/QtPropertyBrowser/src/QtPropertyBrowser_autogen/include/moc_qtvariantproperty.cpp.d" AutoMoc: Generating "BIN:/3rdparty/QtPropertyBrowser/src/QtPropertyBrowser_autogen/include/qteditorfactory.moc", because it doesn't exist, from "SRC:/3rdparty/QtPropertyBrowser/src/qteditorfactory.cpp" /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/bin/moc -DNO_RUST -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_WIDGETS_LIB -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/3rdparty/QtPropertyBrowser/src -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/QtPropertyBrowser/src -I/gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/include/python3.11 -I/gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5 -I/gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtWidgets -I/gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtGui -I/gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtCore -I/gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/lib/qt5/mkspecs/linux-g++ -I/gnu/store/f76qdd5i5aiyhii5vfg8inpcwgv81dyk-mesa-25.2.3/include -I/gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include -I/gnu/store/fjnqbp82xlk7grb1phi377ifvy2ag4lp-iverilog-12.0/include -I/gnu/store/8plnc3lpnfhyqyy4khcjzm7lhnvvnnw7-googletest-1.17.0/include -I/gnu/store/7ykml1rwb7dmgsic1nvcwf3f8sj4wg6g-bzip2-1.0.8/include -I/gnu/store/rnj26qklbw75sn0b74i802acshrijy66-file-5.46/include -I/gnu/store/5189xfjv3av3djx824k3vplzgam5yk1q-gawk-5.3.0/include -I/gnu/store/74kzd0881xh5b1b3cdq4pva26pywlpph-xz-5.4.5/include -I/gnu/store/mx9i9dnjx34lkx8k8r79876q2f5dclvr-make-4.4.1/include -I/gnu/store/x7x8y4c3ccn33sq7r9nb77y5d5mz3970-binutils-2.44/include -I/gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/include/c++ -I/gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/include -I/gnu/store/50jqiigxwa1s9xljqrl1wh85yncqnybr-glibc-2.41/include -I/gnu/store/4vxzslxx9fcvhcq0cqjr168shg8s1kwa-boost-1.83.0/include -I/gnu/store/r94kayv6y93mj1hgc13k11klha366dsw-eigen-3.4.0/include -I/gnu/store/3702dz9kiacbldynjm02a61ni75p7hk6-pybind11-2.13.6/include -I/gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/include -I/gnu/store/g34v9di9pw9d3p0z4gavygqp8197szky-qtwayland-5.15.17/include -I/gnu/store/ny8visn9x0y1r3bfylrirfbjprg3nh15-qtimgui-0.0-0.48d64a7/include -I/gnu/store/1zqziv4s5q2y8d3byhbzfz61hrpy4xrz-linux-libre-headers-6.12.17/include -I/gnu/store/lkr00hf38xnc265pziwm68bpii3xdg8q-imgui-1.86/include -I/gnu/store/52bc4k6kgp9cv4pinns4yfp5lagj8zqr-xorgproto-2024.1/include -I/gnu/store/9b6xbajrzba0jzvjpdw8sbnwk1iq6ja2-libxxf86vm-1.1.6/include -I/gnu/store/515l3riya9ffp834kd0fa0gn3xzqrdck-libxshmfence-1.3.3/include -I/gnu/store/mv71i3v40b2gqvqy1rfkc219cfihgwc0-libxfixes-6.0.1/include -I/gnu/store/4ab335v9nxqqfzvia87ccvgq33masz9a-libxdamage-1.1.6/include -I/gnu/store/vznnvmjy5q54gk0qvik9gi181li09wpf-libx11-1.8.12/include -I/gnu/store/dzbj2yv45vzrazlr6ywmzd828j4nx02p-libvdpau-1.5/include -I/gnu/store/56qrv5j1l2qfm1c4x0csidbprbq9ypcy-libdrm-2.4.124/include -I/gnu/store/1c41hrnadwb0a1af7n3skvj1kxznq29x-libxext-1.3.6/include -I/gnu/store/v60dfd1nbw4j0lk08ha0jfdmkin8xnpi-libxcb-1.17.0/include -I/gnu/store/v06qx4fqqy30fg4y3ls6p4c3g7wrmj89-libpciaccess-0.18.1/include -I/gnu/store/j461yp7q0sl48bbaj7f244aqycs3jwgl-libxdmcp-1.1.5/include -I/gnu/store/ywpvw7g27qcfr4clhdk2xxb5rijqfns3-libxau-1.0.12/include -I/gnu/store/rwwdavvfvn3d5nwwvmd4sf6bnw1qra88-zlib-1.3.1/include -I/gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/include/c++/aarch64-unknown-linux-gnu -I/gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/include/c++/backward -I/gnu/store/6ngp1bahsp96qmjnimvhf3hfi6abklsk-gcc-14.3.0-lib/lib/gcc/aarch64-unknown-linux-gnu/14.3.0/include -I/gnu/store/6ngp1bahsp96qmjnimvhf3hfi6abklsk-gcc-14.3.0-lib/lib/gcc/aarch64-unknown-linux-gnu/14.3.0/include-fixed --include /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/3rdparty/QtPropertyBrowser/src/QtPropertyBrowser_autogen/moc_predefs.h --output-dep-file -o /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/3rdparty/QtPropertyBrowser/src/QtPropertyBrowser_autogen/include/qteditorfactory.moc /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/QtPropertyBrowser/src/qteditorfactory.cpp [ 1%] Linking CXX static library libjson11.a cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/3rdparty/json11 && /gnu/store/d5c8i3b549r412lkhddggyfx9mqdphs7-cmake-minimal-3.31.10/bin/cmake -P CMakeFiles/json11.dir/cmake_clean_target.cmake cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/3rdparty/json11 && /gnu/store/d5c8i3b549r412lkhddggyfx9mqdphs7-cmake-minimal-3.31.10/bin/cmake -E cmake_link_script CMakeFiles/json11.dir/link.txt --verbose=1 "/gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/bin/gcc-ar" qc libjson11.a CMakeFiles/json11.dir/json11.cpp.o "/gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/bin/gcc-ranlib" libjson11.a make[2]: Leaving directory '/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build' [ 1%] Built target json11 AutoMoc: Reading dependencies from "BIN:/3rdparty/QtPropertyBrowser/src/QtPropertyBrowser_autogen/include/qteditorfactory.moc.d" AutoMoc: Generating "BIN:/3rdparty/QtPropertyBrowser/src/QtPropertyBrowser_autogen/include/qtpropertymanager.moc", because it doesn't exist, from "SRC:/3rdparty/QtPropertyBrowser/src/qtpropertymanager.cpp" /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/bin/moc -DNO_RUST -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_WIDGETS_LIB -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/3rdparty/QtPropertyBrowser/src -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/QtPropertyBrowser/src -I/gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/include/python3.11 -I/gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5 -I/gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtWidgets -I/gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtGui -I/gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtCore -I/gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/lib/qt5/mkspecs/linux-g++ -I/gnu/store/f76qdd5i5aiyhii5vfg8inpcwgv81dyk-mesa-25.2.3/include -I/gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include -I/gnu/store/fjnqbp82xlk7grb1phi377ifvy2ag4lp-iverilog-12.0/include -I/gnu/store/8plnc3lpnfhyqyy4khcjzm7lhnvvnnw7-googletest-1.17.0/include -I/gnu/store/7ykml1rwb7dmgsic1nvcwf3f8sj4wg6g-bzip2-1.0.8/include -I/gnu/store/rnj26qklbw75sn0b74i802acshrijy66-file-5.46/include -I/gnu/store/5189xfjv3av3djx824k3vplzgam5yk1q-gawk-5.3.0/include -I/gnu/store/74kzd0881xh5b1b3cdq4pva26pywlpph-xz-5.4.5/include -I/gnu/store/mx9i9dnjx34lkx8k8r79876q2f5dclvr-make-4.4.1/include -I/gnu/store/x7x8y4c3ccn33sq7r9nb77y5d5mz3970-binutils-2.44/include -I/gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/include/c++ -I/gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/include -I/gnu/store/50jqiigxwa1s9xljqrl1wh85yncqnybr-glibc-2.41/include -I/gnu/store/4vxzslxx9fcvhcq0cqjr168shg8s1kwa-boost-1.83.0/include -I/gnu/store/r94kayv6y93mj1hgc13k11klha366dsw-eigen-3.4.0/include -I/gnu/store/3702dz9kiacbldynjm02a61ni75p7hk6-pybind11-2.13.6/include -I/gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/include -I/gnu/store/g34v9di9pw9d3p0z4gavygqp8197szky-qtwayland-5.15.17/include -I/gnu/store/ny8visn9x0y1r3bfylrirfbjprg3nh15-qtimgui-0.0-0.48d64a7/include -I/gnu/store/1zqziv4s5q2y8d3byhbzfz61hrpy4xrz-linux-libre-headers-6.12.17/include -I/gnu/store/lkr00hf38xnc265pziwm68bpii3xdg8q-imgui-1.86/include -I/gnu/store/52bc4k6kgp9cv4pinns4yfp5lagj8zqr-xorgproto-2024.1/include -I/gnu/store/9b6xbajrzba0jzvjpdw8sbnwk1iq6ja2-libxxf86vm-1.1.6/include -I/gnu/store/515l3riya9ffp834kd0fa0gn3xzqrdck-libxshmfence-1.3.3/include -I/gnu/store/mv71i3v40b2gqvqy1rfkc219cfihgwc0-libxfixes-6.0.1/include -I/gnu/store/4ab335v9nxqqfzvia87ccvgq33masz9a-libxdamage-1.1.6/include -I/gnu/store/vznnvmjy5q54gk0qvik9gi181li09wpf-libx11-1.8.12/include -I/gnu/store/dzbj2yv45vzrazlr6ywmzd828j4nx02p-libvdpau-1.5/include -I/gnu/store/56qrv5j1l2qfm1c4x0csidbprbq9ypcy-libdrm-2.4.124/include -I/gnu/store/1c41hrnadwb0a1af7n3skvj1kxznq29x-libxext-1.3.6/include -I/gnu/store/v60dfd1nbw4j0lk08ha0jfdmkin8xnpi-libxcb-1.17.0/include -I/gnu/store/v06qx4fqqy30fg4y3ls6p4c3g7wrmj89-libpciaccess-0.18.1/include -I/gnu/store/j461yp7q0sl48bbaj7f244aqycs3jwgl-libxdmcp-1.1.5/include -I/gnu/store/ywpvw7g27qcfr4clhdk2xxb5rijqfns3-libxau-1.0.12/include -I/gnu/store/rwwdavvfvn3d5nwwvmd4sf6bnw1qra88-zlib-1.3.1/include -I/gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/include/c++/aarch64-unknown-linux-gnu -I/gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/include/c++/backward -I/gnu/store/6ngp1bahsp96qmjnimvhf3hfi6abklsk-gcc-14.3.0-lib/lib/gcc/aarch64-unknown-linux-gnu/14.3.0/include -I/gnu/store/6ngp1bahsp96qmjnimvhf3hfi6abklsk-gcc-14.3.0-lib/lib/gcc/aarch64-unknown-linux-gnu/14.3.0/include-fixed --include /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/3rdparty/QtPropertyBrowser/src/QtPropertyBrowser_autogen/moc_predefs.h --output-dep-file -o /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/3rdparty/QtPropertyBrowser/src/QtPropertyBrowser_autogen/include/qtpropertymanager.moc /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/QtPropertyBrowser/src/qtpropertymanager.cpp AutoMoc: Reading dependencies from "BIN:/3rdparty/QtPropertyBrowser/src/QtPropertyBrowser_autogen/include/qtpropertymanager.moc.d" AutoMoc: Generating "BIN:/3rdparty/QtPropertyBrowser/src/QtPropertyBrowser_autogen/include/qttreepropertybrowser.moc", because it doesn't exist, from "SRC:/3rdparty/QtPropertyBrowser/src/qttreepropertybrowser.cpp" /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/bin/moc -DNO_RUST -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_WIDGETS_LIB -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/3rdparty/QtPropertyBrowser/src -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/QtPropertyBrowser/src -I/gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/include/python3.11 -I/gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5 -I/gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtWidgets -I/gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtGui -I/gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtCore -I/gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/lib/qt5/mkspecs/linux-g++ -I/gnu/store/f76qdd5i5aiyhii5vfg8inpcwgv81dyk-mesa-25.2.3/include -I/gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include -I/gnu/store/fjnqbp82xlk7grb1phi377ifvy2ag4lp-iverilog-12.0/include -I/gnu/store/8plnc3lpnfhyqyy4khcjzm7lhnvvnnw7-googletest-1.17.0/include -I/gnu/store/7ykml1rwb7dmgsic1nvcwf3f8sj4wg6g-bzip2-1.0.8/include -I/gnu/store/rnj26qklbw75sn0b74i802acshrijy66-file-5.46/include -I/gnu/store/5189xfjv3av3djx824k3vplzgam5yk1q-gawk-5.3.0/include -I/gnu/store/74kzd0881xh5b1b3cdq4pva26pywlpph-xz-5.4.5/include -I/gnu/store/mx9i9dnjx34lkx8k8r79876q2f5dclvr-make-4.4.1/include -I/gnu/store/x7x8y4c3ccn33sq7r9nb77y5d5mz3970-binutils-2.44/include -I/gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/include/c++ -I/gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/include -I/gnu/store/50jqiigxwa1s9xljqrl1wh85yncqnybr-glibc-2.41/include -I/gnu/store/4vxzslxx9fcvhcq0cqjr168shg8s1kwa-boost-1.83.0/include -I/gnu/store/r94kayv6y93mj1hgc13k11klha366dsw-eigen-3.4.0/include -I/gnu/store/3702dz9kiacbldynjm02a61ni75p7hk6-pybind11-2.13.6/include -I/gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/include -I/gnu/store/g34v9di9pw9d3p0z4gavygqp8197szky-qtwayland-5.15.17/include -I/gnu/store/ny8visn9x0y1r3bfylrirfbjprg3nh15-qtimgui-0.0-0.48d64a7/include -I/gnu/store/1zqziv4s5q2y8d3byhbzfz61hrpy4xrz-linux-libre-headers-6.12.17/include -I/gnu/store/lkr00hf38xnc265pziwm68bpii3xdg8q-imgui-1.86/include -I/gnu/store/52bc4k6kgp9cv4pinns4yfp5lagj8zqr-xorgproto-2024.1/include -I/gnu/store/9b6xbajrzba0jzvjpdw8sbnwk1iq6ja2-libxxf86vm-1.1.6/include -I/gnu/store/515l3riya9ffp834kd0fa0gn3xzqrdck-libxshmfence-1.3.3/include -I/gnu/store/mv71i3v40b2gqvqy1rfkc219cfihgwc0-libxfixes-6.0.1/include -I/gnu/store/4ab335v9nxqqfzvia87ccvgq33masz9a-libxdamage-1.1.6/include -I/gnu/store/vznnvmjy5q54gk0qvik9gi181li09wpf-libx11-1.8.12/include -I/gnu/store/dzbj2yv45vzrazlr6ywmzd828j4nx02p-libvdpau-1.5/include -I/gnu/store/56qrv5j1l2qfm1c4x0csidbprbq9ypcy-libdrm-2.4.124/include -I/gnu/store/1c41hrnadwb0a1af7n3skvj1kxznq29x-libxext-1.3.6/include -I/gnu/store/v60dfd1nbw4j0lk08ha0jfdmkin8xnpi-libxcb-1.17.0/include -I/gnu/store/v06qx4fqqy30fg4y3ls6p4c3g7wrmj89-libpciaccess-0.18.1/include -I/gnu/store/j461yp7q0sl48bbaj7f244aqycs3jwgl-libxdmcp-1.1.5/include -I/gnu/store/ywpvw7g27qcfr4clhdk2xxb5rijqfns3-libxau-1.0.12/include -I/gnu/store/rwwdavvfvn3d5nwwvmd4sf6bnw1qra88-zlib-1.3.1/include -I/gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/include/c++/aarch64-unknown-linux-gnu -I/gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/include/c++/backward -I/gnu/store/6ngp1bahsp96qmjnimvhf3hfi6abklsk-gcc-14.3.0-lib/lib/gcc/aarch64-unknown-linux-gnu/14.3.0/include -I/gnu/store/6ngp1bahsp96qmjnimvhf3hfi6abklsk-gcc-14.3.0-lib/lib/gcc/aarch64-unknown-linux-gnu/14.3.0/include-fixed --include /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/3rdparty/QtPropertyBrowser/src/QtPropertyBrowser_autogen/moc_predefs.h --output-dep-file -o /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/3rdparty/QtPropertyBrowser/src/QtPropertyBrowser_autogen/include/qttreepropertybrowser.moc /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/QtPropertyBrowser/src/qttreepropertybrowser.cpp AutoMoc: Reading dependencies from "BIN:/3rdparty/QtPropertyBrowser/src/QtPropertyBrowser_autogen/include/qttreepropertybrowser.moc.d" AutoMoc: Generating MOC compilation "BIN:/3rdparty/QtPropertyBrowser/src/QtPropertyBrowser_autogen/mocs_compilation.cpp" AutoMoc: Merging MOC dependencies into "BIN:/3rdparty/QtPropertyBrowser/src/QtPropertyBrowser_autogen/deps" AutoGen: Writing the parse cache file "BIN:/3rdparty/QtPropertyBrowser/src/CMakeFiles/QtPropertyBrowser_autogen.dir/ParseCache.txt" AutoGen: Writing the settings file "BIN:/3rdparty/QtPropertyBrowser/src/CMakeFiles/QtPropertyBrowser_autogen.dir/AutogenUsed.txt" cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/3rdparty/QtPropertyBrowser/src && /gnu/store/d5c8i3b549r412lkhddggyfx9mqdphs7-cmake-minimal-3.31.10/bin/cmake -E touch /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/3rdparty/QtPropertyBrowser/src/QtPropertyBrowser_autogen/timestamp make[2]: Leaving directory '/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build' [ 1%] Built target QtPropertyBrowser_autogen /gnu/store/mx9i9dnjx34lkx8k8r79876q2f5dclvr-make-4.4.1/bin/make -f 3rdparty/QtPropertyBrowser/src/CMakeFiles/QtPropertyBrowser.dir/build.make 3rdparty/QtPropertyBrowser/src/CMakeFiles/QtPropertyBrowser.dir/depend make[2]: Entering directory '/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build' [ 1%] Generating qrc_qtpropertybrowser.cpp cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/3rdparty/QtPropertyBrowser/src && /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/bin/rcc --name qtpropertybrowser --output /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/3rdparty/QtPropertyBrowser/src/qrc_qtpropertybrowser.cpp /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/QtPropertyBrowser/src/qtpropertybrowser.qrc cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build && /gnu/store/d5c8i3b549r412lkhddggyfx9mqdphs7-cmake-minimal-3.31.10/bin/cmake -E cmake_depends "Unix Makefiles" /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/QtPropertyBrowser/src /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/3rdparty/QtPropertyBrowser/src /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/3rdparty/QtPropertyBrowser/src/CMakeFiles/QtPropertyBrowser.dir/DependInfo.cmake "--color=" Dependencies file "3rdparty/QtPropertyBrowser/src/QtPropertyBrowser_autogen/deps" is newer than depends file "/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/3rdparty/QtPropertyBrowser/src/CMakeFiles/QtPropertyBrowser.dir/compiler_depend.internal". Consolidate compiler generated dependencies of target QtPropertyBrowser make[2]: Leaving directory '/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build' /gnu/store/mx9i9dnjx34lkx8k8r79876q2f5dclvr-make-4.4.1/bin/make -f 3rdparty/QtPropertyBrowser/src/CMakeFiles/QtPropertyBrowser.dir/build.make 3rdparty/QtPropertyBrowser/src/CMakeFiles/QtPropertyBrowser.dir/build make[2]: Entering directory '/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build' [ 2%] Building CXX object 3rdparty/QtPropertyBrowser/src/CMakeFiles/QtPropertyBrowser.dir/qtpropertymanager.cpp.o [ 2%] Building CXX object 3rdparty/QtPropertyBrowser/src/CMakeFiles/QtPropertyBrowser.dir/qtbuttonpropertybrowser.cpp.o [ 2%] Building CXX object 3rdparty/QtPropertyBrowser/src/CMakeFiles/QtPropertyBrowser.dir/QtPropertyBrowser_autogen/mocs_compilation.cpp.o [ 2%] Building CXX object 3rdparty/QtPropertyBrowser/src/CMakeFiles/QtPropertyBrowser.dir/qteditorfactory.cpp.o [ 2%] Building CXX object 3rdparty/QtPropertyBrowser/src/CMakeFiles/QtPropertyBrowser.dir/qtgroupboxpropertybrowser.cpp.o [ 2%] Building CXX object 3rdparty/QtPropertyBrowser/src/CMakeFiles/QtPropertyBrowser.dir/qtpropertybrowser.cpp.o cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/3rdparty/QtPropertyBrowser/src && /gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/bin/c++ -DNO_RUST -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_WIDGETS_LIB -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/3rdparty/QtPropertyBrowser/src -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/QtPropertyBrowser/src -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/3rdparty/QtPropertyBrowser/src/QtPropertyBrowser_autogen/include -I/gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/include/python3.11 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtWidgets -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtGui -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtCore -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/lib/qt5/mkspecs/linux-g++ -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -fdiagnostics-color=always -fPIC -MD -MT 3rdparty/QtPropertyBrowser/src/CMakeFiles/QtPropertyBrowser.dir/qtpropertymanager.cpp.o -MF CMakeFiles/QtPropertyBrowser.dir/qtpropertymanager.cpp.o.d -o CMakeFiles/QtPropertyBrowser.dir/qtpropertymanager.cpp.o -c /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/QtPropertyBrowser/src/qtpropertymanager.cpp cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/3rdparty/QtPropertyBrowser/src && /gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/bin/c++ -DNO_RUST -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_WIDGETS_LIB -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/3rdparty/QtPropertyBrowser/src -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/QtPropertyBrowser/src -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/3rdparty/QtPropertyBrowser/src/QtPropertyBrowser_autogen/include -I/gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/include/python3.11 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtWidgets -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtGui -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtCore -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/lib/qt5/mkspecs/linux-g++ -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -fdiagnostics-color=always -fPIC -MD -MT 3rdparty/QtPropertyBrowser/src/CMakeFiles/QtPropertyBrowser.dir/QtPropertyBrowser_autogen/mocs_compilation.cpp.o -MF CMakeFiles/QtPropertyBrowser.dir/QtPropertyBrowser_autogen/mocs_compilation.cpp.o.d -o CMakeFiles/QtPropertyBrowser.dir/QtPropertyBrowser_autogen/mocs_compilation.cpp.o -c /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/3rdparty/QtPropertyBrowser/src/QtPropertyBrowser_autogen/mocs_compilation.cpp [ 2%] Building CXX object 3rdparty/QtPropertyBrowser/src/CMakeFiles/QtPropertyBrowser.dir/qtpropertybrowserutils.cpp.o cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/3rdparty/QtPropertyBrowser/src && /gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/bin/c++ -DNO_RUST -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_WIDGETS_LIB -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/3rdparty/QtPropertyBrowser/src -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/QtPropertyBrowser/src -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/3rdparty/QtPropertyBrowser/src/QtPropertyBrowser_autogen/include -I/gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/include/python3.11 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtWidgets -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtGui -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtCore -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/lib/qt5/mkspecs/linux-g++ -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -fdiagnostics-color=always -fPIC -MD -MT 3rdparty/QtPropertyBrowser/src/CMakeFiles/QtPropertyBrowser.dir/qtbuttonpropertybrowser.cpp.o -MF CMakeFiles/QtPropertyBrowser.dir/qtbuttonpropertybrowser.cpp.o.d -o CMakeFiles/QtPropertyBrowser.dir/qtbuttonpropertybrowser.cpp.o -c /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/QtPropertyBrowser/src/qtbuttonpropertybrowser.cpp [ 2%] Building CXX object 3rdparty/QtPropertyBrowser/src/CMakeFiles/QtPropertyBrowser.dir/qtvariantproperty.cpp.o cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/3rdparty/QtPropertyBrowser/src && /gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/bin/c++ -DNO_RUST -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_WIDGETS_LIB -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/3rdparty/QtPropertyBrowser/src -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/QtPropertyBrowser/src -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/3rdparty/QtPropertyBrowser/src/QtPropertyBrowser_autogen/include -I/gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/include/python3.11 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtWidgets -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtGui -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtCore -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/lib/qt5/mkspecs/linux-g++ -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -fdiagnostics-color=always -fPIC -MD -MT 3rdparty/QtPropertyBrowser/src/CMakeFiles/QtPropertyBrowser.dir/qteditorfactory.cpp.o -MF CMakeFiles/QtPropertyBrowser.dir/qteditorfactory.cpp.o.d -o CMakeFiles/QtPropertyBrowser.dir/qteditorfactory.cpp.o -c /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/QtPropertyBrowser/src/qteditorfactory.cpp cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/3rdparty/QtPropertyBrowser/src && /gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/bin/c++ -DNO_RUST -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_WIDGETS_LIB -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/3rdparty/QtPropertyBrowser/src -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/QtPropertyBrowser/src -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/3rdparty/QtPropertyBrowser/src/QtPropertyBrowser_autogen/include -I/gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/include/python3.11 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtWidgets -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtGui -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtCore -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/lib/qt5/mkspecs/linux-g++ -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -fdiagnostics-color=always -fPIC -MD -MT 3rdparty/QtPropertyBrowser/src/CMakeFiles/QtPropertyBrowser.dir/qtpropertybrowser.cpp.o -MF CMakeFiles/QtPropertyBrowser.dir/qtpropertybrowser.cpp.o.d -o CMakeFiles/QtPropertyBrowser.dir/qtpropertybrowser.cpp.o -c /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/QtPropertyBrowser/src/qtpropertybrowser.cpp [ 2%] Building CXX object 3rdparty/QtPropertyBrowser/src/CMakeFiles/QtPropertyBrowser.dir/qttreepropertybrowser.cpp.o cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/3rdparty/QtPropertyBrowser/src && /gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/bin/c++ -DNO_RUST -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_WIDGETS_LIB -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/3rdparty/QtPropertyBrowser/src -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/QtPropertyBrowser/src -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/3rdparty/QtPropertyBrowser/src/QtPropertyBrowser_autogen/include -I/gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/include/python3.11 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtWidgets -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtGui -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtCore -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/lib/qt5/mkspecs/linux-g++ -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -fdiagnostics-color=always -fPIC -MD -MT 3rdparty/QtPropertyBrowser/src/CMakeFiles/QtPropertyBrowser.dir/qtgroupboxpropertybrowser.cpp.o -MF CMakeFiles/QtPropertyBrowser.dir/qtgroupboxpropertybrowser.cpp.o.d -o CMakeFiles/QtPropertyBrowser.dir/qtgroupboxpropertybrowser.cpp.o -c /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/QtPropertyBrowser/src/qtgroupboxpropertybrowser.cpp cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/3rdparty/QtPropertyBrowser/src && /gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/bin/c++ -DNO_RUST -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_WIDGETS_LIB -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/3rdparty/QtPropertyBrowser/src -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/QtPropertyBrowser/src -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/3rdparty/QtPropertyBrowser/src/QtPropertyBrowser_autogen/include -I/gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/include/python3.11 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtWidgets -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtGui -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtCore -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/lib/qt5/mkspecs/linux-g++ -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -fdiagnostics-color=always -fPIC -MD -MT 3rdparty/QtPropertyBrowser/src/CMakeFiles/QtPropertyBrowser.dir/qtpropertybrowserutils.cpp.o -MF CMakeFiles/QtPropertyBrowser.dir/qtpropertybrowserutils.cpp.o.d -o CMakeFiles/QtPropertyBrowser.dir/qtpropertybrowserutils.cpp.o -c /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/QtPropertyBrowser/src/qtpropertybrowserutils.cpp cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/3rdparty/QtPropertyBrowser/src && /gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/bin/c++ -DNO_RUST -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_WIDGETS_LIB -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/3rdparty/QtPropertyBrowser/src -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/QtPropertyBrowser/src -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/3rdparty/QtPropertyBrowser/src/QtPropertyBrowser_autogen/include -I/gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/include/python3.11 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtWidgets -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtGui -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtCore -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/lib/qt5/mkspecs/linux-g++ -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -fdiagnostics-color=always -fPIC -MD -MT 3rdparty/QtPropertyBrowser/src/CMakeFiles/QtPropertyBrowser.dir/qtvariantproperty.cpp.o -MF CMakeFiles/QtPropertyBrowser.dir/qtvariantproperty.cpp.o.d -o CMakeFiles/QtPropertyBrowser.dir/qtvariantproperty.cpp.o -c /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/QtPropertyBrowser/src/qtvariantproperty.cpp [ 2%] Building CXX object 3rdparty/QtPropertyBrowser/src/CMakeFiles/QtPropertyBrowser.dir/qrc_qtpropertybrowser.cpp.o cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/3rdparty/QtPropertyBrowser/src && /gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/bin/c++ -DNO_RUST -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_WIDGETS_LIB -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/3rdparty/QtPropertyBrowser/src -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/QtPropertyBrowser/src -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/3rdparty/QtPropertyBrowser/src/QtPropertyBrowser_autogen/include -I/gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/include/python3.11 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtWidgets -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtGui -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtCore -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/lib/qt5/mkspecs/linux-g++ -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -fdiagnostics-color=always -fPIC -MD -MT 3rdparty/QtPropertyBrowser/src/CMakeFiles/QtPropertyBrowser.dir/qttreepropertybrowser.cpp.o -MF CMakeFiles/QtPropertyBrowser.dir/qttreepropertybrowser.cpp.o.d -o CMakeFiles/QtPropertyBrowser.dir/qttreepropertybrowser.cpp.o -c /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/QtPropertyBrowser/src/qttreepropertybrowser.cpp cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/3rdparty/QtPropertyBrowser/src && /gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/bin/c++ -DNO_RUST -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_WIDGETS_LIB -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/3rdparty/QtPropertyBrowser/src -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/QtPropertyBrowser/src -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/3rdparty/QtPropertyBrowser/src/QtPropertyBrowser_autogen/include -I/gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/include/python3.11 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtWidgets -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtGui -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtCore -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/lib/qt5/mkspecs/linux-g++ -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -fdiagnostics-color=always -fPIC -MD -MT 3rdparty/QtPropertyBrowser/src/CMakeFiles/QtPropertyBrowser.dir/qrc_qtpropertybrowser.cpp.o -MF CMakeFiles/QtPropertyBrowser.dir/qrc_qtpropertybrowser.cpp.o.d -o CMakeFiles/QtPropertyBrowser.dir/qrc_qtpropertybrowser.cpp.o -c /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/3rdparty/QtPropertyBrowser/src/qrc_qtpropertybrowser.cpp [ 2%] Linking CXX executable bbasm cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/bba && /gnu/store/d5c8i3b549r412lkhddggyfx9mqdphs7-cmake-minimal-3.31.10/bin/cmake -E cmake_link_script CMakeFiles/bbasm.dir/link.txt --verbose=1 /gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/bin/c++ -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -Wl,--export-dynamic -rdynamic -Wl,--dependency-file=CMakeFiles/bbasm.dir/link.d CMakeFiles/bbasm.dir/main.cc.o -o bbasm /gnu/store/4vxzslxx9fcvhcq0cqjr168shg8s1kwa-boost-1.83.0/lib/libboost_program_options.so.1.83.0 /gnu/store/4vxzslxx9fcvhcq0cqjr168shg8s1kwa-boost-1.83.0/lib/libboost_system.so.1.83.0 make[2]: Leaving directory '/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build' [ 2%] Built target bbasm /gnu/store/mx9i9dnjx34lkx8k8r79876q2f5dclvr-make-4.4.1/bin/make -f ice40/CMakeFiles/nextpnr-ice40-chipdb.dir/build.make ice40/CMakeFiles/nextpnr-ice40-chipdb.dir/depend /gnu/store/mx9i9dnjx34lkx8k8r79876q2f5dclvr-make-4.4.1/bin/make -f ecp5/CMakeFiles/nextpnr-ecp5-chipdb.dir/build.make ecp5/CMakeFiles/nextpnr-ecp5-chipdb.dir/depend /gnu/store/mx9i9dnjx34lkx8k8r79876q2f5dclvr-make-4.4.1/bin/make -f himbaechel/uarch/ng-ultra/CMakeFiles/nextpnr-himbaechel-ng-ultra-chipdb.dir/build.make himbaechel/uarch/ng-ultra/CMakeFiles/nextpnr-himbaechel-ng-ultra-chipdb.dir/depend /gnu/store/mx9i9dnjx34lkx8k8r79876q2f5dclvr-make-4.4.1/bin/make -f himbaechel/uarch/gowin/CMakeFiles/nextpnr-himbaechel-gowin-chipdb.dir/build.make himbaechel/uarch/gowin/CMakeFiles/nextpnr-himbaechel-gowin-chipdb.dir/depend /gnu/store/mx9i9dnjx34lkx8k8r79876q2f5dclvr-make-4.4.1/bin/make -f himbaechel/uarch/gatemate/CMakeFiles/nextpnr-himbaechel-gatemate-chipdb.dir/build.make himbaechel/uarch/gatemate/CMakeFiles/nextpnr-himbaechel-gatemate-chipdb.dir/depend make[2]: Entering directory '/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build' cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build && /gnu/store/d5c8i3b549r412lkhddggyfx9mqdphs7-cmake-minimal-3.31.10/bin/cmake -E cmake_depends "Unix Makefiles" /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/ice40 /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/ice40 /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/ice40/CMakeFiles/nextpnr-ice40-chipdb.dir/DependInfo.cmake "--color=" make[2]: Entering directory '/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build' cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build && /gnu/store/d5c8i3b549r412lkhddggyfx9mqdphs7-cmake-minimal-3.31.10/bin/cmake -E cmake_depends "Unix Makefiles" /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/himbaechel/uarch/ng-ultra /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/himbaechel/uarch/ng-ultra /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/himbaechel/uarch/ng-ultra/CMakeFiles/nextpnr-himbaechel-ng-ultra-chipdb.dir/DependInfo.cmake "--color=" make[2]: Entering directory '/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build' cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build && /gnu/store/d5c8i3b549r412lkhddggyfx9mqdphs7-cmake-minimal-3.31.10/bin/cmake -E cmake_depends "Unix Makefiles" /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/ecp5 /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/ecp5 /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/ecp5/CMakeFiles/nextpnr-ecp5-chipdb.dir/DependInfo.cmake "--color=" make[2]: Entering directory '/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build' cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build && /gnu/store/d5c8i3b549r412lkhddggyfx9mqdphs7-cmake-minimal-3.31.10/bin/cmake -E cmake_depends "Unix Makefiles" /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/himbaechel/uarch/gowin /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/himbaechel/uarch/gowin /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/himbaechel/uarch/gowin/CMakeFiles/nextpnr-himbaechel-gowin-chipdb.dir/DependInfo.cmake "--color=" make[2]: Entering directory '/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build' cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build && /gnu/store/d5c8i3b549r412lkhddggyfx9mqdphs7-cmake-minimal-3.31.10/bin/cmake -E cmake_depends "Unix Makefiles" /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/himbaechel/uarch/gatemate /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/himbaechel/uarch/gatemate /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/himbaechel/uarch/gatemate/CMakeFiles/nextpnr-himbaechel-gatemate-chipdb.dir/DependInfo.cmake "--color=" make[2]: Leaving directory '/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build' /gnu/store/mx9i9dnjx34lkx8k8r79876q2f5dclvr-make-4.4.1/bin/make -f himbaechel/uarch/ng-ultra/CMakeFiles/nextpnr-himbaechel-ng-ultra-chipdb.dir/build.make himbaechel/uarch/ng-ultra/CMakeFiles/nextpnr-himbaechel-ng-ultra-chipdb.dir/build make[2]: Leaving directory '/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build' make[2]: Leaving directory '/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build' make[2]: Leaving directory '/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build' /gnu/store/mx9i9dnjx34lkx8k8r79876q2f5dclvr-make-4.4.1/bin/make -f ecp5/CMakeFiles/nextpnr-ecp5-chipdb.dir/build.make ecp5/CMakeFiles/nextpnr-ecp5-chipdb.dir/build /gnu/store/mx9i9dnjx34lkx8k8r79876q2f5dclvr-make-4.4.1/bin/make -f ice40/CMakeFiles/nextpnr-ice40-chipdb.dir/build.make ice40/CMakeFiles/nextpnr-ice40-chipdb.dir/build /gnu/store/mx9i9dnjx34lkx8k8r79876q2f5dclvr-make-4.4.1/bin/make -f himbaechel/uarch/gowin/CMakeFiles/nextpnr-himbaechel-gowin-chipdb.dir/build.make himbaechel/uarch/gowin/CMakeFiles/nextpnr-himbaechel-gowin-chipdb.dir/build make[2]: Leaving directory '/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build' /gnu/store/mx9i9dnjx34lkx8k8r79876q2f5dclvr-make-4.4.1/bin/make -f himbaechel/uarch/gatemate/CMakeFiles/nextpnr-himbaechel-gatemate-chipdb.dir/build.make himbaechel/uarch/gatemate/CMakeFiles/nextpnr-himbaechel-gatemate-chipdb.dir/build make[2]: Entering directory '/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build' make[2]: Entering directory '/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build' make[2]: Entering directory '/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build' make[2]: Entering directory '/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build' make[2]: Entering directory '/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build' [ 3%] Generating chipdb-GW1N-4.bba [ 3%] Generating chipdb-25k.bba [ 3%] Generating chipdb-ng-ultra.bba [ 3%] Generating chipdb-1k.bba [ 3%] Generating chipdb-5k.bba [ 3%] Generating chipdb-85k.bba [ 3%] Generating chipdb-384.bba [ 3%] Generating chipdb-45k.bba [ 3%] Generating chipdb-GW1N-1.bba cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/himbaechel/uarch/gowin && /gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/bin/python3.11 /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/himbaechel/uarch/gowin/gowin_arch_gen.py -d GW1N-4 -o /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/himbaechel/uarch/gowin/chipdb-GW1N-4.bba.new cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/ecp5 && /gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/bin/python3.11 /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/ecp5/trellis_import.py -L /gnu/store/awv4vdazri35d4f02ka6bjxmf91xrhaw-prjtrellis-1.4-1.92345b7/lib/trellis -L /gnu/store/awv4vdazri35d4f02ka6bjxmf91xrhaw-prjtrellis-1.4-1.92345b7/share/trellis/util/common -L /gnu/store/awv4vdazri35d4f02ka6bjxmf91xrhaw-prjtrellis-1.4-1.92345b7/share/trellis/timing/util -p /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/ecp5/constids.inc -g /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/ecp5/gfx.h 25k > /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/ecp5/chipdb-25k.bba.new cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/himbaechel/uarch/ng-ultra && /gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/bin/python3.11 /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/himbaechel/uarch/ng-ultra/gen/arch_gen.py --db /gnu/store/myv1iajncnbvxvia4cm3q4sfvkvlfdqj-prjbeyond-db-0-0.f49f66b/share/prjbeyond-db --device NG-ULTRA --bba /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/himbaechel/uarch/ng-ultra/chipdb-ng-ultra.bba.new cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/ice40 && /gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/bin/python3.11 /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/ice40/chipdb.py -p /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/ice40/constids.inc -g /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/ice40/gfx.h --fast /gnu/store/sv59jcpqqdkp5k4z2pl52si59why46i8-icestorm-1.1/share/icebox/timings_hx1k.txt --slow /gnu/store/sv59jcpqqdkp5k4z2pl52si59why46i8-icestorm-1.1/share/icebox/timings_lp1k.txt /gnu/store/sv59jcpqqdkp5k4z2pl52si59why46i8-icestorm-1.1/share/icebox/chipdb-1k.txt > /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/ice40/chipdb-1k.bba.new [ 3%] Generating chipdb-8k.bba [ 3%] Generating chipdb-GW1N-9.bba [ 3%] Generating chipdb-GW1N-9C.bba cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/ecp5 && /gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/bin/python3.11 /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/ecp5/trellis_import.py -L /gnu/store/awv4vdazri35d4f02ka6bjxmf91xrhaw-prjtrellis-1.4-1.92345b7/lib/trellis -L /gnu/store/awv4vdazri35d4f02ka6bjxmf91xrhaw-prjtrellis-1.4-1.92345b7/share/trellis/util/common -L /gnu/store/awv4vdazri35d4f02ka6bjxmf91xrhaw-prjtrellis-1.4-1.92345b7/share/trellis/timing/util -p /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/ecp5/constids.inc -g /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/ecp5/gfx.h 85k > /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/ecp5/chipdb-85k.bba.new cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/ice40 && /gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/bin/python3.11 /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/ice40/chipdb.py -p /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/ice40/constids.inc -g /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/ice40/gfx.h --slow /gnu/store/sv59jcpqqdkp5k4z2pl52si59why46i8-icestorm-1.1/share/icebox/timings_lp384.txt /gnu/store/sv59jcpqqdkp5k4z2pl52si59why46i8-icestorm-1.1/share/icebox/chipdb-384.txt > /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/ice40/chipdb-384.bba.new cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/himbaechel/uarch/gowin && /gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/bin/python3.11 /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/himbaechel/uarch/gowin/gowin_arch_gen.py -d GW1N-1 -o /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/himbaechel/uarch/gowin/chipdb-GW1N-1.bba.new cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/ice40 && /gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/bin/python3.11 /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/ice40/chipdb.py -p /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/ice40/constids.inc -g /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/ice40/gfx.h --slow /gnu/store/sv59jcpqqdkp5k4z2pl52si59why46i8-icestorm-1.1/share/icebox/timings_up5k.txt /gnu/store/sv59jcpqqdkp5k4z2pl52si59why46i8-icestorm-1.1/share/icebox/chipdb-5k.txt > /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/ice40/chipdb-5k.bba.new [ 4%] Generating chipdb-u4k.bba [ 4%] Generating chipdb-GW1NS-4.bba cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/ecp5 && /gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/bin/python3.11 /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/ecp5/trellis_import.py -L /gnu/store/awv4vdazri35d4f02ka6bjxmf91xrhaw-prjtrellis-1.4-1.92345b7/lib/trellis -L /gnu/store/awv4vdazri35d4f02ka6bjxmf91xrhaw-prjtrellis-1.4-1.92345b7/share/trellis/util/common -L /gnu/store/awv4vdazri35d4f02ka6bjxmf91xrhaw-prjtrellis-1.4-1.92345b7/share/trellis/timing/util -p /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/ecp5/constids.inc -g /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/ecp5/gfx.h 45k > /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/ecp5/chipdb-45k.bba.new cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/himbaechel/uarch/gowin && /gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/bin/python3.11 /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/himbaechel/uarch/gowin/gowin_arch_gen.py -d GW1N-9 -o /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/himbaechel/uarch/gowin/chipdb-GW1N-9.bba.new [ 4%] Generating chipdb-GW1NZ-1.bba [ 4%] Generating chipdb-CCGM1A2.bba cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/ice40 && /gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/bin/python3.11 /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/ice40/chipdb.py -p /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/ice40/constids.inc -g /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/ice40/gfx.h --fast /gnu/store/sv59jcpqqdkp5k4z2pl52si59why46i8-icestorm-1.1/share/icebox/timings_hx8k.txt --slow /gnu/store/sv59jcpqqdkp5k4z2pl52si59why46i8-icestorm-1.1/share/icebox/timings_lp8k.txt /gnu/store/sv59jcpqqdkp5k4z2pl52si59why46i8-icestorm-1.1/share/icebox/chipdb-8k.txt > /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/ice40/chipdb-8k.bba.new cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/himbaechel/uarch/gowin && /gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/bin/python3.11 /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/himbaechel/uarch/gowin/gowin_arch_gen.py -d GW1N-9C -o /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/himbaechel/uarch/gowin/chipdb-GW1N-9C.bba.new cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/ice40 && /gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/bin/python3.11 /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/ice40/chipdb.py -p /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/ice40/constids.inc -g /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/ice40/gfx.h --slow /gnu/store/sv59jcpqqdkp5k4z2pl52si59why46i8-icestorm-1.1/share/icebox/timings_u4k.txt /gnu/store/sv59jcpqqdkp5k4z2pl52si59why46i8-icestorm-1.1/share/icebox/chipdb-u4k.txt > /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/ice40/chipdb-u4k.bba.new [ 5%] Generating chipdb-GW2A-18C.bba [ 5%] Generating chipdb-GW2A-18.bba cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/himbaechel/uarch/gowin && /gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/bin/python3.11 /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/himbaechel/uarch/gowin/gowin_arch_gen.py -d GW1NS-4 -o /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/himbaechel/uarch/gowin/chipdb-GW1NS-4.bba.new [ 5%] Generating chipdb-GW5A-25A.bba [ 5%] Generating chipdb-CCGM1A1.bba cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/himbaechel/uarch/gowin && /gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/bin/python3.11 /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/himbaechel/uarch/gowin/gowin_arch_gen.py -d GW1NZ-1 -o /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/himbaechel/uarch/gowin/chipdb-GW1NZ-1.bba.new cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/himbaechel/uarch/gatemate && /gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/bin/python3.11 /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/himbaechel/uarch/gatemate/gen/arch_gen.py --lib /gnu/store/8pfqm2iv1ianih271zvr91vr333063b8-prjpeppercorn-1.8-db/share/prjpeppercorn/gatemate --device CCGM1A2 --bba /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/himbaechel/uarch/gatemate/chipdb-CCGM1A2.bba.new cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/himbaechel/uarch/gowin && /gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/bin/python3.11 /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/himbaechel/uarch/gowin/gowin_arch_gen.py -d GW2A-18 -o /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/himbaechel/uarch/gowin/chipdb-GW2A-18.bba.new cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/himbaechel/uarch/gowin && /gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/bin/python3.11 /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/himbaechel/uarch/gowin/gowin_arch_gen.py -d GW2A-18C -o /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/himbaechel/uarch/gowin/chipdb-GW2A-18C.bba.new cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/himbaechel/uarch/gatemate && /gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/bin/python3.11 /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/himbaechel/uarch/gatemate/gen/arch_gen.py --lib /gnu/store/8pfqm2iv1ianih271zvr91vr333063b8-prjpeppercorn-1.8-db/share/prjpeppercorn/gatemate --device CCGM1A1 --bba /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/himbaechel/uarch/gatemate/chipdb-CCGM1A1.bba.new cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/himbaechel/uarch/gowin && /gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/bin/python3.11 /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/himbaechel/uarch/gowin/gowin_arch_gen.py -d GW5A-25A -o /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/himbaechel/uarch/gowin/chipdb-GW5A-25A.bba.new [ 5%] Linking CXX static library libQtPropertyBrowser.a cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/3rdparty/QtPropertyBrowser/src && /gnu/store/d5c8i3b549r412lkhddggyfx9mqdphs7-cmake-minimal-3.31.10/bin/cmake -P CMakeFiles/QtPropertyBrowser.dir/cmake_clean_target.cmake cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/3rdparty/QtPropertyBrowser/src && /gnu/store/d5c8i3b549r412lkhddggyfx9mqdphs7-cmake-minimal-3.31.10/bin/cmake -E cmake_link_script CMakeFiles/QtPropertyBrowser.dir/link.txt --verbose=1 "/gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/bin/gcc-ar" qc libQtPropertyBrowser.a CMakeFiles/QtPropertyBrowser.dir/QtPropertyBrowser_autogen/mocs_compilation.cpp.o CMakeFiles/QtPropertyBrowser.dir/qtbuttonpropertybrowser.cpp.o CMakeFiles/QtPropertyBrowser.dir/qteditorfactory.cpp.o CMakeFiles/QtPropertyBrowser.dir/qtgroupboxpropertybrowser.cpp.o CMakeFiles/QtPropertyBrowser.dir/qtpropertybrowser.cpp.o CMakeFiles/QtPropertyBrowser.dir/qtpropertybrowserutils.cpp.o CMakeFiles/QtPropertyBrowser.dir/qtpropertymanager.cpp.o CMakeFiles/QtPropertyBrowser.dir/qttreepropertybrowser.cpp.o CMakeFiles/QtPropertyBrowser.dir/qtvariantproperty.cpp.o CMakeFiles/QtPropertyBrowser.dir/qrc_qtpropertybrowser.cpp.o "/gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/bin/gcc-ranlib" libQtPropertyBrowser.a make[2]: Leaving directory '/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build' [ 5%] Built target QtPropertyBrowser /gnu/store/mx9i9dnjx34lkx8k8r79876q2f5dclvr-make-4.4.1/bin/make -f generic/gui/CMakeFiles/nextpnr-generic-gui_autogen_timestamp_deps.dir/build.make generic/gui/CMakeFiles/nextpnr-generic-gui_autogen_timestamp_deps.dir/depend /gnu/store/mx9i9dnjx34lkx8k8r79876q2f5dclvr-make-4.4.1/bin/make -f ice40/gui/CMakeFiles/nextpnr-ice40-gui_autogen_timestamp_deps.dir/build.make ice40/gui/CMakeFiles/nextpnr-ice40-gui_autogen_timestamp_deps.dir/depend /gnu/store/mx9i9dnjx34lkx8k8r79876q2f5dclvr-make-4.4.1/bin/make -f ecp5/gui/CMakeFiles/nextpnr-ecp5-gui_autogen_timestamp_deps.dir/build.make ecp5/gui/CMakeFiles/nextpnr-ecp5-gui_autogen_timestamp_deps.dir/depend /gnu/store/mx9i9dnjx34lkx8k8r79876q2f5dclvr-make-4.4.1/bin/make -f himbaechel/uarch/ng-ultra/gui/CMakeFiles/nextpnr-himbaechel-ng-ultra-gui_autogen_timestamp_deps.dir/build.make himbaechel/uarch/ng-ultra/gui/CMakeFiles/nextpnr-himbaechel-ng-ultra-gui_autogen_timestamp_deps.dir/depend /gnu/store/mx9i9dnjx34lkx8k8r79876q2f5dclvr-make-4.4.1/bin/make -f himbaechel/uarch/gowin/gui/CMakeFiles/nextpnr-himbaechel-gowin-gui_autogen_timestamp_deps.dir/build.make himbaechel/uarch/gowin/gui/CMakeFiles/nextpnr-himbaechel-gowin-gui_autogen_timestamp_deps.dir/depend /gnu/store/mx9i9dnjx34lkx8k8r79876q2f5dclvr-make-4.4.1/bin/make -f himbaechel/uarch/gatemate/gui/CMakeFiles/nextpnr-himbaechel-gatemate-gui_autogen_timestamp_deps.dir/build.make himbaechel/uarch/gatemate/gui/CMakeFiles/nextpnr-himbaechel-gatemate-gui_autogen_timestamp_deps.dir/depend make[2]: Entering directory '/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build' cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build && /gnu/store/d5c8i3b549r412lkhddggyfx9mqdphs7-cmake-minimal-3.31.10/bin/cmake -E cmake_depends "Unix Makefiles" /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/generic/gui /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/generic/gui/CMakeFiles/nextpnr-generic-gui_autogen_timestamp_deps.dir/DependInfo.cmake "--color=" make[2]: Entering directory '/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build' cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build && /gnu/store/d5c8i3b549r412lkhddggyfx9mqdphs7-cmake-minimal-3.31.10/bin/cmake -E cmake_depends "Unix Makefiles" /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/ice40/gui /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/ice40/gui/CMakeFiles/nextpnr-ice40-gui_autogen_timestamp_deps.dir/DependInfo.cmake "--color=" make[2]: Entering directory '/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build' cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build && /gnu/store/d5c8i3b549r412lkhddggyfx9mqdphs7-cmake-minimal-3.31.10/bin/cmake -E cmake_depends "Unix Makefiles" /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/ecp5/gui /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/ecp5/gui/CMakeFiles/nextpnr-ecp5-gui_autogen_timestamp_deps.dir/DependInfo.cmake "--color=" make[2]: Entering directory '/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build' cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build && /gnu/store/d5c8i3b549r412lkhddggyfx9mqdphs7-cmake-minimal-3.31.10/bin/cmake -E cmake_depends "Unix Makefiles" /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/himbaechel/uarch/ng-ultra/gui /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/himbaechel/uarch/ng-ultra/gui/CMakeFiles/nextpnr-himbaechel-ng-ultra-gui_autogen_timestamp_deps.dir/DependInfo.cmake "--color=" make[2]: Entering directory '/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build' cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build && /gnu/store/d5c8i3b549r412lkhddggyfx9mqdphs7-cmake-minimal-3.31.10/bin/cmake -E cmake_depends "Unix Makefiles" /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/himbaechel/uarch/gowin/gui /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/himbaechel/uarch/gowin/gui/CMakeFiles/nextpnr-himbaechel-gowin-gui_autogen_timestamp_deps.dir/DependInfo.cmake "--color=" make[2]: Entering directory '/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build' cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build && /gnu/store/d5c8i3b549r412lkhddggyfx9mqdphs7-cmake-minimal-3.31.10/bin/cmake -E cmake_depends "Unix Makefiles" /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/himbaechel/uarch/gatemate/gui /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/himbaechel/uarch/gatemate/gui/CMakeFiles/nextpnr-himbaechel-gatemate-gui_autogen_timestamp_deps.dir/DependInfo.cmake "--color=" make[2]: Leaving directory '/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build' make[2]: Leaving directory '/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build' make[2]: Leaving directory '/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build' /gnu/store/mx9i9dnjx34lkx8k8r79876q2f5dclvr-make-4.4.1/bin/make -f generic/gui/CMakeFiles/nextpnr-generic-gui_autogen_timestamp_deps.dir/build.make generic/gui/CMakeFiles/nextpnr-generic-gui_autogen_timestamp_deps.dir/build make[2]: Leaving directory '/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build' make[2]: Leaving directory '/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build' /gnu/store/mx9i9dnjx34lkx8k8r79876q2f5dclvr-make-4.4.1/bin/make -f ice40/gui/CMakeFiles/nextpnr-ice40-gui_autogen_timestamp_deps.dir/build.make ice40/gui/CMakeFiles/nextpnr-ice40-gui_autogen_timestamp_deps.dir/build /gnu/store/mx9i9dnjx34lkx8k8r79876q2f5dclvr-make-4.4.1/bin/make -f ecp5/gui/CMakeFiles/nextpnr-ecp5-gui_autogen_timestamp_deps.dir/build.make ecp5/gui/CMakeFiles/nextpnr-ecp5-gui_autogen_timestamp_deps.dir/build make[2]: Leaving directory '/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build' /gnu/store/mx9i9dnjx34lkx8k8r79876q2f5dclvr-make-4.4.1/bin/make -f himbaechel/uarch/ng-ultra/gui/CMakeFiles/nextpnr-himbaechel-ng-ultra-gui_autogen_timestamp_deps.dir/build.make himbaechel/uarch/ng-ultra/gui/CMakeFiles/nextpnr-himbaechel-ng-ultra-gui_autogen_timestamp_deps.dir/build /gnu/store/mx9i9dnjx34lkx8k8r79876q2f5dclvr-make-4.4.1/bin/make -f himbaechel/uarch/gowin/gui/CMakeFiles/nextpnr-himbaechel-gowin-gui_autogen_timestamp_deps.dir/build.make himbaechel/uarch/gowin/gui/CMakeFiles/nextpnr-himbaechel-gowin-gui_autogen_timestamp_deps.dir/build /gnu/store/mx9i9dnjx34lkx8k8r79876q2f5dclvr-make-4.4.1/bin/make -f himbaechel/uarch/gatemate/gui/CMakeFiles/nextpnr-himbaechel-gatemate-gui_autogen_timestamp_deps.dir/build.make himbaechel/uarch/gatemate/gui/CMakeFiles/nextpnr-himbaechel-gatemate-gui_autogen_timestamp_deps.dir/build make[2]: Entering directory '/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build' make[2]: Entering directory '/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build' make[2]: Nothing to be done for 'ecp5/gui/CMakeFiles/nextpnr-ecp5-gui_autogen_timestamp_deps.dir/build'. make[2]: Leaving directory '/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build' make[2]: Entering directory '/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build' make[2]: Nothing to be done for 'himbaechel/uarch/ng-ultra/gui/CMakeFiles/nextpnr-himbaechel-ng-ultra-gui_autogen_timestamp_deps.dir/build'. make[2]: Leaving directory '/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build' make[2]: Nothing to be done for 'generic/gui/CMakeFiles/nextpnr-generic-gui_autogen_timestamp_deps.dir/build'. make[2]: Leaving directory '/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build' make[2]: Entering directory '/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build' make[2]: Entering directory '/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build' make[2]: Nothing to be done for 'himbaechel/uarch/gowin/gui/CMakeFiles/nextpnr-himbaechel-gowin-gui_autogen_timestamp_deps.dir/build'. make[2]: Leaving directory '/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build' make[2]: Nothing to be done for 'ice40/gui/CMakeFiles/nextpnr-ice40-gui_autogen_timestamp_deps.dir/build'. make[2]: Leaving directory '/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build' make[2]: Entering directory '/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build' make[2]: Nothing to be done for 'himbaechel/uarch/gatemate/gui/CMakeFiles/nextpnr-himbaechel-gatemate-gui_autogen_timestamp_deps.dir/build'. make[2]: Leaving directory '/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build' [ 5%] Built target nextpnr-generic-gui_autogen_timestamp_deps [ 5%] Built target nextpnr-ecp5-gui_autogen_timestamp_deps [ 5%] Built target nextpnr-himbaechel-ng-ultra-gui_autogen_timestamp_deps /gnu/store/mx9i9dnjx34lkx8k8r79876q2f5dclvr-make-4.4.1/bin/make -f generic/gui/CMakeFiles/nextpnr-generic-gui_autogen.dir/build.make generic/gui/CMakeFiles/nextpnr-generic-gui_autogen.dir/depend /gnu/store/mx9i9dnjx34lkx8k8r79876q2f5dclvr-make-4.4.1/bin/make -f ecp5/gui/CMakeFiles/nextpnr-ecp5-gui_autogen.dir/build.make ecp5/gui/CMakeFiles/nextpnr-ecp5-gui_autogen.dir/depend [ 5%] Built target nextpnr-himbaechel-gowin-gui_autogen_timestamp_deps [ 5%] Built target nextpnr-ice40-gui_autogen_timestamp_deps /gnu/store/mx9i9dnjx34lkx8k8r79876q2f5dclvr-make-4.4.1/bin/make -f himbaechel/uarch/ng-ultra/gui/CMakeFiles/nextpnr-himbaechel-ng-ultra-gui_autogen.dir/build.make himbaechel/uarch/ng-ultra/gui/CMakeFiles/nextpnr-himbaechel-ng-ultra-gui_autogen.dir/depend [ 5%] Built target nextpnr-himbaechel-gatemate-gui_autogen_timestamp_deps /gnu/store/mx9i9dnjx34lkx8k8r79876q2f5dclvr-make-4.4.1/bin/make -f ice40/gui/CMakeFiles/nextpnr-ice40-gui_autogen.dir/build.make ice40/gui/CMakeFiles/nextpnr-ice40-gui_autogen.dir/depend /gnu/store/mx9i9dnjx34lkx8k8r79876q2f5dclvr-make-4.4.1/bin/make -f himbaechel/uarch/gowin/gui/CMakeFiles/nextpnr-himbaechel-gowin-gui_autogen.dir/build.make himbaechel/uarch/gowin/gui/CMakeFiles/nextpnr-himbaechel-gowin-gui_autogen.dir/depend /gnu/store/mx9i9dnjx34lkx8k8r79876q2f5dclvr-make-4.4.1/bin/make -f himbaechel/uarch/gatemate/gui/CMakeFiles/nextpnr-himbaechel-gatemate-gui_autogen.dir/build.make himbaechel/uarch/gatemate/gui/CMakeFiles/nextpnr-himbaechel-gatemate-gui_autogen.dir/depend make[2]: Entering directory '/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build' cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build && /gnu/store/d5c8i3b549r412lkhddggyfx9mqdphs7-cmake-minimal-3.31.10/bin/cmake -E cmake_depends "Unix Makefiles" /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/generic/gui /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/generic/gui/CMakeFiles/nextpnr-generic-gui_autogen.dir/DependInfo.cmake "--color=" make[2]: Entering directory '/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build' cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build && /gnu/store/d5c8i3b549r412lkhddggyfx9mqdphs7-cmake-minimal-3.31.10/bin/cmake -E cmake_depends "Unix Makefiles" /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/ecp5/gui /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/ecp5/gui/CMakeFiles/nextpnr-ecp5-gui_autogen.dir/DependInfo.cmake "--color=" make[2]: Entering directory '/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build' cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build && /gnu/store/d5c8i3b549r412lkhddggyfx9mqdphs7-cmake-minimal-3.31.10/bin/cmake -E cmake_depends "Unix Makefiles" /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/himbaechel/uarch/ng-ultra/gui /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/himbaechel/uarch/ng-ultra/gui/CMakeFiles/nextpnr-himbaechel-ng-ultra-gui_autogen.dir/DependInfo.cmake "--color=" make[2]: Entering directory '/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build' cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build && /gnu/store/d5c8i3b549r412lkhddggyfx9mqdphs7-cmake-minimal-3.31.10/bin/cmake -E cmake_depends "Unix Makefiles" /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/ice40/gui /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/ice40/gui/CMakeFiles/nextpnr-ice40-gui_autogen.dir/DependInfo.cmake "--color=" make[2]: Entering directory '/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build' cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build && /gnu/store/d5c8i3b549r412lkhddggyfx9mqdphs7-cmake-minimal-3.31.10/bin/cmake -E cmake_depends "Unix Makefiles" /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/himbaechel/uarch/gatemate/gui /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/himbaechel/uarch/gatemate/gui/CMakeFiles/nextpnr-himbaechel-gatemate-gui_autogen.dir/DependInfo.cmake "--color=" make[2]: Entering directory '/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build' cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build && /gnu/store/d5c8i3b549r412lkhddggyfx9mqdphs7-cmake-minimal-3.31.10/bin/cmake -E cmake_depends "Unix Makefiles" /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/himbaechel/uarch/gowin/gui /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/himbaechel/uarch/gowin/gui/CMakeFiles/nextpnr-himbaechel-gowin-gui_autogen.dir/DependInfo.cmake "--color=" make[2]: Leaving directory '/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build' /gnu/store/mx9i9dnjx34lkx8k8r79876q2f5dclvr-make-4.4.1/bin/make -f generic/gui/CMakeFiles/nextpnr-generic-gui_autogen.dir/build.make generic/gui/CMakeFiles/nextpnr-generic-gui_autogen.dir/build make[2]: Leaving directory '/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build' make[2]: Leaving directory '/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build' make[2]: Leaving directory '/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build' /gnu/store/mx9i9dnjx34lkx8k8r79876q2f5dclvr-make-4.4.1/bin/make -f ecp5/gui/CMakeFiles/nextpnr-ecp5-gui_autogen.dir/build.make ecp5/gui/CMakeFiles/nextpnr-ecp5-gui_autogen.dir/build /gnu/store/mx9i9dnjx34lkx8k8r79876q2f5dclvr-make-4.4.1/bin/make -f himbaechel/uarch/ng-ultra/gui/CMakeFiles/nextpnr-himbaechel-ng-ultra-gui_autogen.dir/build.make himbaechel/uarch/ng-ultra/gui/CMakeFiles/nextpnr-himbaechel-ng-ultra-gui_autogen.dir/build /gnu/store/mx9i9dnjx34lkx8k8r79876q2f5dclvr-make-4.4.1/bin/make -f ice40/gui/CMakeFiles/nextpnr-ice40-gui_autogen.dir/build.make ice40/gui/CMakeFiles/nextpnr-ice40-gui_autogen.dir/build make[2]: Leaving directory '/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build' make[2]: Leaving directory '/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build' make[2]: Entering directory '/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build' /gnu/store/mx9i9dnjx34lkx8k8r79876q2f5dclvr-make-4.4.1/bin/make -f himbaechel/uarch/gowin/gui/CMakeFiles/nextpnr-himbaechel-gowin-gui_autogen.dir/build.make himbaechel/uarch/gowin/gui/CMakeFiles/nextpnr-himbaechel-gowin-gui_autogen.dir/build make[2]: Entering directory '/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build' /gnu/store/mx9i9dnjx34lkx8k8r79876q2f5dclvr-make-4.4.1/bin/make -f himbaechel/uarch/gatemate/gui/CMakeFiles/nextpnr-himbaechel-gatemate-gui_autogen.dir/build.make himbaechel/uarch/gatemate/gui/CMakeFiles/nextpnr-himbaechel-gatemate-gui_autogen.dir/build make[2]: Entering directory '/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build' make[2]: Entering directory '/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build' make[2]: Entering directory '/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build' make[2]: Entering directory '/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build' [ 5%] Automatic MOC for target nextpnr-ecp5-gui [ 6%] Automatic MOC for target nextpnr-himbaechel-ng-ultra-gui [ 6%] Automatic MOC for target nextpnr-ice40-gui [ 7%] Automatic MOC for target nextpnr-generic-gui cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/ice40/gui && /gnu/store/d5c8i3b549r412lkhddggyfx9mqdphs7-cmake-minimal-3.31.10/bin/cmake -E cmake_autogen /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/ice40/gui/CMakeFiles/nextpnr-ice40-gui_autogen.dir/AutogenInfo.json RelWithDebInfo cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/ecp5/gui && /gnu/store/d5c8i3b549r412lkhddggyfx9mqdphs7-cmake-minimal-3.31.10/bin/cmake -E cmake_autogen /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/ecp5/gui/CMakeFiles/nextpnr-ecp5-gui_autogen.dir/AutogenInfo.json RelWithDebInfo cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/himbaechel/uarch/ng-ultra/gui && /gnu/store/d5c8i3b549r412lkhddggyfx9mqdphs7-cmake-minimal-3.31.10/bin/cmake -E cmake_autogen /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/himbaechel/uarch/ng-ultra/gui/CMakeFiles/nextpnr-himbaechel-ng-ultra-gui_autogen.dir/AutogenInfo.json RelWithDebInfo cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/generic/gui && /gnu/store/d5c8i3b549r412lkhddggyfx9mqdphs7-cmake-minimal-3.31.10/bin/cmake -E cmake_autogen /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/generic/gui/CMakeFiles/nextpnr-generic-gui_autogen.dir/AutogenInfo.json RelWithDebInfo [ 7%] Automatic MOC for target nextpnr-himbaechel-gatemate-gui [ 8%] Automatic MOC for target nextpnr-himbaechel-gowin-gui cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/himbaechel/uarch/gatemate/gui && /gnu/store/d5c8i3b549r412lkhddggyfx9mqdphs7-cmake-minimal-3.31.10/bin/cmake -E cmake_autogen /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/himbaechel/uarch/gatemate/gui/CMakeFiles/nextpnr-himbaechel-gatemate-gui_autogen.dir/AutogenInfo.json RelWithDebInfo cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/himbaechel/uarch/gowin/gui && /gnu/store/d5c8i3b549r412lkhddggyfx9mqdphs7-cmake-minimal-3.31.10/bin/cmake -E cmake_autogen /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/himbaechel/uarch/gowin/gui/CMakeFiles/nextpnr-himbaechel-gowin-gui_autogen.dir/AutogenInfo.json RelWithDebInfo AutoGen: Refreshing parse cache because it doesn't exist. AutoGen: Refreshing parse cache because it doesn't exist. AutoGen: Refreshing parse cache because it doesn't exist. AutoMoc: Generating "BIN:/generic/gui/nextpnr-generic-gui_autogen/moc_predefs.h", because it doesn't exist. /gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/bin/c++ -w -dM -E /gnu/store/d5c8i3b549r412lkhddggyfx9mqdphs7-cmake-minimal-3.31.10/share/cmake-3.31/Modules/CMakeCXXCompilerABI.cpp -DARCHNAME=generic -DARCH_GENERIC -DNEXTPNR_NAMESPACE=nextpnr_generic -DNO_RUST -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/generic/gui -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui -I/gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/include/python3.11 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/generic -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/frontend -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/json -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/QtPropertyBrowser/src -I/gnu/store/lkr00hf38xnc265pziwm68bpii3xdg8q-imgui-1.86/include/imgui -I/gnu/store/ny8visn9x0y1r3bfylrirfbjprg3nh15-qtimgui-0.0-0.48d64a7/include/qtimgui -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/python-console -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/python-console/modified -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/generic -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/common -I/gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5 -I/gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtWidgets -I/gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtGui -I/gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtCore -I/gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/lib/qt5/mkspecs/linux-g++ -I/gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtOpenGL -I/gnu/store/f76qdd5i5aiyhii5vfg8inpcwgv81dyk-mesa-25.2.3/include -I/gnu/store/3702dz9kiacbldynjm02a61ni75p7hk6-pybind11-2.13.6/include -I/gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include -I/gnu/store/fjnqbp82xlk7grb1phi377ifvy2ag4lp-iverilog-12.0/include -I/gnu/store/8plnc3lpnfhyqyy4khcjzm7lhnvvnnw7-googletest-1.17.0/include -I/gnu/store/7ykml1rwb7dmgsic1nvcwf3f8sj4wg6g-bzip2-1.0.8/include -I/gnu/store/rnj26qklbw75sn0b74i802acshrijy66-file-5.46/include -I/gnu/store/5189xfjv3av3djx824k3vplzgam5yk1q-gawk-5.3.0/include -I/gnu/store/74kzd0881xh5b1b3cdq4pva26pywlpph-xz-5.4.5/include -I/gnu/store/mx9i9dnjx34lkx8k8r79876q2f5dclvr-make-4.4.1/include -I/gnu/store/x7x8y4c3ccn33sq7r9nb77y5d5mz3970-binutils-2.44/include -I/gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/include/c++ -I/gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/include -I/gnu/store/50jqiigxwa1s9xljqrl1wh85yncqnybr-glibc-2.41/include -I/gnu/store/4vxzslxx9fcvhcq0cqjr168shg8s1kwa-boost-1.83.0/include -I/gnu/store/r94kayv6y93mj1hgc13k11klha366dsw-eigen-3.4.0/include -I/gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/include -I/gnu/store/g34v9di9pw9d3p0z4gavygqp8197szky-qtwayland-5.15.17/include -I/gnu/store/ny8visn9x0y1r3bfylrirfbjprg3nh15-qtimgui-0.0-0.48d64a7/include -I/gnu/store/1zqziv4s5q2y8d3byhbzfz61hrpy4xrz-linux-libre-headers-6.12.17/include -I/gnu/store/lkr00hf38xnc265pziwm68bpii3xdg8q-imgui-1.86/include -I/gnu/store/52bc4k6kgp9cv4pinns4yfp5lagj8zqr-xorgproto-2024.1/include -I/gnu/store/9b6xbajrzba0jzvjpdw8sbnwk1iq6ja2-libxxf86vm-1.1.6/include -I/gnu/store/515l3riya9ffp834kd0fa0gn3xzqrdck-libxshmfence-1.3.3/include -I/gnu/store/mv71i3v40b2gqvqy1rfkc219cfihgwc0-libxfixes-6.0.1/include -I/gnu/store/4ab335v9nxqqfzvia87ccvgq33masz9a-libxdamage-1.1.6/include -I/gnu/store/vznnvmjy5q54gk0qvik9gi181li09wpf-libx11-1.8.12/include -I/gnu/store/dzbj2yv45vzrazlr6ywmzd828j4nx02p-libvdpau-1.5/include -I/gnu/store/56qrv5j1l2qfm1c4x0csidbprbq9ypcy-libdrm-2.4.124/include -I/gnu/store/1c41hrnadwb0a1af7n3skvj1kxznq29x-libxext-1.3.6/include -I/gnu/store/v60dfd1nbw4j0lk08ha0jfdmkin8xnpAutoMoc: Generating "BIN:/ecp5/gui/nextpnr-ecp5-gui_autogen/moc_predefs.h", because it doesn't exist. /gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/bin/c++ -w -dM -E /gnu/store/d5c8i3b549r412lkhddggyfx9mqdphs7-cmake-minimal-3.31.10/share/cmake-3.31/Modules/CMakeCXXCompilerABI.cpp -DARCHNAME=ecp5 -DARCH_ECP5 -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DNO_RUST -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/ecp5/gui -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui -I/gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/include/python3.11 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/ecp5 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/frontend -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/json -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/QtPropertyBrowser/src -I/gnu/store/lkr00hf38xnc265pziwm68bpii3xdg8q-imgui-1.86/include/imgui -I/gnu/store/ny8visn9x0y1r3bfylrirfbjprg3nh15-qtimgui-0.0-0.48d64a7/include/qtimgui -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/python-console -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/python-console/modified -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/ecp5 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/common -I/gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5 -I/gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtWidgets -I/gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtGui -I/gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtCore -I/gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/lib/qt5/mkspecs/linux-g++ -I/gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtOpenGL -I/gnu/store/f76qdd5i5aiyhii5vfg8inpcwgv81dyk-mesa-25.2.3/include -I/gnu/store/3702dz9kiacbldynjm02a61ni75p7hk6-pybind11-2.13.6/include -I/gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include -I/gnu/store/fjnqbp82xlk7grb1phi377ifvy2ag4lp-iverilog-12.0/include -I/gnu/store/8plnc3lpnfhyqyy4khcjzm7lhnvvnnw7-googletest-1.17.0/include -I/gnu/store/7ykml1rwb7dmgsic1nvcwf3f8sj4wg6g-bzip2-1.0.8/include -I/gnu/store/rnj26qklbw75sn0b74i802acshrijy66-file-5.46/include -I/gnu/store/5189xfjv3av3djx824k3vplzgam5yk1q-gawk-5.3.0/include -I/gnu/store/74kzd0881xh5b1b3cdq4pva26pywlpph-xz-5.4.5/include -I/gnu/store/mx9i9dnjx34lkx8k8r79876q2f5dclvr-make-4.4.1/include -I/gnu/store/x7x8y4c3ccn33sq7r9nb77y5d5mz3970-binutils-2.44/include -I/gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/include/c++ -I/gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/include -I/gnu/store/50jqiigxwa1s9xljqrl1wh85yncqnybr-glibc-2.41/include -I/gnu/store/4vxzslxx9fcvhcq0cqjr168shg8s1kwa-boost-1.83.0/include -I/gnu/store/r94kayv6y93mj1hgc13k11klha366dsw-eigen-3.4.0/include -I/gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/include -I/gnu/store/g34v9di9pw9d3p0z4gavygqp8197szky-qtwayland-5.15.17/include -I/gnu/store/ny8visn9x0y1r3bfylrirfbjprg3nh15-qtimgui-0.0-0.48d64a7/include -I/gnu/store/1zqziv4s5q2y8d3byhbzfz61hrpy4xrz-linux-libre-headers-6.12.17/include -I/gnu/store/lkr00hf38xnc265pziwm68bpii3xdg8q-imgui-1.86/include -I/gnu/store/52bc4k6kgp9cv4pinns4yfp5lagj8zqr-xorgproto-2024.1/include -I/gnu/store/9b6xbajrzba0jzvjpdw8sbnwk1iq6ja2-libxxf86vm-1.1.6/include -I/gnu/store/515l3riya9ffp834kd0fa0gn3xzqrdck-libxshmfence-1.3.3/include -I/gnu/store/mv71i3v40b2gqvqy1rfkc219cfihgwc0-libxfixes-6.0.1/include -I/gnu/store/4ab335v9nxqqfzvia87ccvgq33masz9a-libxdamage-1.1.6/include -I/gnu/store/vznnvmjy5q54gk0qvik9gi181li09wpf-libx11-1.8.12/include -I/gnu/store/dzbj2yv45vzrazlr6ywmzd828j4nx02p-libvdpau-1.5/include -I/gnu/store/56qrv5j1l2qfm1c4x0csidbprbq9ypcy-libdrm-2.4.124/include -I/gnu/store/1c41hrnadwb0a1af7n3skvj1kxznq29x-libxext-1.3.6/include -I/gnu/store/v60dfd1nbw4j0lk08ha0jfdmkin8xnpi-libxcb-1.17.0/include -I/gnu/store/v06qx4fqqy30fg4y3ls6p4c3g7wrmj89-libpciaccess-0.18.1/include -I/gnu/store/j461yp7q0sl48bbaj7f244aqycs3jwgl-libxdmcp-1.1.5/include -I/gnu/store/ywpvw7g27qcfr4clhdk2xxb5rijqfns3-libxau-1.0.12/include -I/gnu/store/rwwdavvfvn3d5nwwvmd4sf6bnw1qra88-zlib-1.3.1/include -I/gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/include/c++/aarch64-unknown-linux-gnu -I/gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/include/c++/backward -I/gnu/store/6ngp1bahsp96qmjnimvhf3hfi6abklsk-gcc-14.3.0-lib/lib/gcc/aarch64-unknown-linux-gnu/14.3.0/include -I/gnu/store/6ngp1bahsp96qmjnimvhf3hfi6abklsk-gcc-14.3.0-lib/lib/gcc/aarch64-unknown-linux-gnu/14.3.0/include-fixed i-libxcb-1.17.0/include -I/gnu/store/v06qx4fqqy30fg4y3ls6p4c3g7wrmj89-libpciaccess-0.18.1/include -I/gnu/store/j461yp7q0sl48bbaj7f244aqycs3jwgl-libxdmcp-1.1.5/include -I/gnu/store/ywpvw7g27qcfr4clhdk2xxb5rijqfns3-libxau-1.0.12/include -I/gnu/store/rwwdavvfvn3d5nwwvmd4sf6bnw1qra88-zlib-1.3.1/include -I/gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/include/c++/aarch64-unknown-linux-gnu -I/gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/include/c++/backward -I/gnu/store/6ngp1bahsp96qmjnimvhf3hfi6abklsk-gcc-14.3.0-lib/lib/gcc/aarch64-unknown-linux-gnu/14.3.0/include -I/gnu/store/6ngp1bahsp96qmjnimvhf3hfi6abklsk-gcc-14.3.0-lib/lib/gcc/aarch64-unknown-linux-gnu/14.3.0/include-fixed AutoMoc: Generating "BIN:/ice40/gui/nextpnr-ice40-gui_autogen/moc_predefs.h", because it doesn't exist. /gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/bin/c++ -w -dM -E /gnu/store/d5c8i3b549r412lkhddggyfx9mqdphs7-cmake-minimal-3.31.10/share/cmake-3.31/Modules/CMakeCXXCompilerABI.cpp -DARCHNAME=ice40 -DARCH_ICE40 -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DNO_RUST -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/ice40/gui -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui -I/gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/include/python3.11 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/ice40 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/frontend -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/json -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/QtPropertyBrowser/src -I/gnu/store/lkr00hf38xnc265pziwm68bpii3xdg8q-imgui-1.86/include/imgui -I/gnu/store/ny8visn9x0y1r3bfylrirfbjprg3nh15-qtimgui-0.0-0.48d64a7/include/qtimgui -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/python-console -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/python-console/modified -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/ice40 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/common -I/gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5 -I/gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtWidgets -I/gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtGui -I/gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtCore -I/gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/lib/qt5/mkspecs/linux-g++ -I/gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtOpenGL -I/gnu/store/f76qdd5i5aiyhii5vfg8inpcwgv81dyk-mesa-25.2.3/include -I/gnu/store/3702dz9kiacbldynjm02a61ni75p7hk6-pybind11-2.13.6/include -I/gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include -I/gnu/store/fjnqbp82xlk7grb1phi377ifvy2ag4lp-iverilog-12.0/include -I/gnu/store/8plnc3lpnfhyqyy4khcjzm7lhnvvnnw7-googletest-1.17.0/include -I/gnu/store/7ykml1rwb7dmgsic1nvcwf3f8sj4wg6g-bzip2-1.0.8/include -I/gnu/store/rnj26qklbw75sn0b74i802acshrijy66-file-5.46/include -I/gnu/store/5189xfjv3av3djx824k3vplzgam5yk1q-gawk-5.3.0/include -I/gnu/store/74kzd0881xh5b1b3cdq4pva26pywlpph-xz-5.4.5/include -I/gnu/store/mx9i9dnjx34lkx8k8r79876q2f5dclvr-make-4.4.1/include -I/gnu/store/x7x8y4c3ccn33sq7r9nb77y5d5mz3970-binutils-2.44/include -I/gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/include/c++ -I/gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/include -I/gnu/store/50jqiigxwa1s9xljqrl1wh85yncqnybr-glibc-2.41/include -I/gnu/store/4vxzslxx9fcvhcq0cqjr168shg8s1kwa-boost-1.83.0/include -I/gnu/store/r94kayv6y93mj1hgc13k11klha366dsw-eigen-3.4.0/include -I/gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/include -I/gnu/store/g34v9di9pw9d3p0z4gavygqp8197szky-qtwayland-5.15.17/include -I/gnu/store/ny8visn9x0y1r3bfylrirfbjprg3nh15-qtimgui-0.0-0.48d64a7/include -I/gnu/store/1zqziv4s5q2y8d3byhbzfz61hrpy4xrz-linux-libre-headers-6.12.17/include -I/gnu/store/lkr00hf38xnc265pziwm68bpii3xdg8q-imgui-1.86/include -I/gnu/store/52bc4k6kgp9cv4pinns4yfp5lagj8zqr-xorgproto-2024.1/include -I/gnu/store/9b6xbajrzba0jzvjpdw8sbnwk1iq6ja2-libxxf86vm-1.1.6/include -I/gnu/store/515l3riya9ffp834kd0fa0gn3xzqrdck-libxshmfence-1.3.3/include -I/gnu/store/mv71i3v40b2gqvqy1rfkc219cfihgwc0-libxfixes-6.0.1/include -I/gnu/store/4ab335v9nxqqfzvia87ccvgq33masz9a-libxdamage-1.1.6/include -I/gnu/store/vznnvmjy5q54gk0qvik9gi181li09wpf-libx11-1.8.12/include -I/gnu/store/dzbj2yv45vzrazlr6ywmzd828j4nx02p-libvdpau-1.5/include -I/gnu/store/56qrv5j1l2qfm1c4x0csidbprbq9ypcy-libdrm-2.4.124/include -I/gnu/store/1c41hrnadwb0a1af7n3skvj1kxznq29x-libxext-1.3.6/include -I/gnu/store/v60dfd1nbw4j0lk08ha0jfdmkin8xnpi-libxcb-1.17.0/include -I/gnu/store/v06qx4fqqy30fg4y3ls6p4c3g7wrmj89-libpciaccess-0.18.1/include -I/gnu/store/j461yp7q0sl48bbaj7f244aqycs3jwgl-libxdmcp-1.1.5/include -I/gnu/store/ywpvw7g27qcfr4clhdk2xxb5rijqfns3-libxau-1.0.12/include -I/gnu/store/rwwdavvfvn3d5nwwvmd4sf6bnw1qra88-zlib-1.3.1/include -I/gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/include/c++/aarch64-unknown-linux-gnu -I/gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/include/c++/backward -I/gnu/store/6ngp1bahsp96qmjnimvhf3hfi6abklsk-gcc-14.3.0-lib/lib/gcc/aarch64-unknown-linux-gnu/14.3.0/include -I/gnu/store/6ngp1bahsp96qmjnimvhf3hfi6abklsk-gcc-14.3.0-lib/lib/gcc/aarch64-unknown-linux-gnu/14.3.0/include-fixed AutoGen: Refreshing parse cache because it doesn't exist. AutoMoc: Generating "BIN:/himbaechel/uarch/ng-ultra/gui/nextpnr-himbaechel-ng-ultra-gui_autogen/moc_predefs.h", because it doesn't exist. /gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/bin/c++ -w -dM -E /gnu/store/d5c8i3b549r412lkhddggyfx9mqdphs7-cmake-minimal-3.31.10/share/cmake-3.31/Modules/CMakeCXXCompilerABI.cpp -DARCHNAME=himbaechel -DARCH_HIMBAECHEL -DNEXTPNR_NAMESPACE=nextpnr_himbaechel -DNO_RUST -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/himbaechel/uarch/ng-ultra/gui -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui -I/gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/include/python3.11 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/himbaechel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/frontend -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/json -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/QtPropertyBrowser/src -I/gnu/store/lkr00hf38xnc265pziwm68bpii3xdg8q-imgui-1.86/include/imgui -I/gnu/store/ny8visn9x0y1r3bfylrirfbjprg3nh15-qtimgui-0.0-0.48d64a7/include/qtimgui -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/python-console -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/python-console/modified -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/himbaechel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/common -I/gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5 -I/gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtWidgets -I/gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtGui -I/gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtCore -I/gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/lib/qt5/mkspecs/linux-g++ -I/gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtOpenGL -I/gnu/store/f76qdd5i5aiyhii5vfg8inpcwgv81dyk-mesa-25.2.3/include -I/gnu/store/3702dz9kiacbldynjm02a61ni75p7hk6-pybind11-2.13.6/include -I/gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include -I/gnu/store/fjnqbp82xlk7grb1phi377ifvy2ag4lp-iverilog-12.0/include -I/gnu/store/8plnc3lpnfhyqyy4khcjzm7lhnvvnnw7-googletest-1.17.0/include -I/gnu/store/7ykml1rwb7dmgsic1nvcwf3f8sj4wg6g-bzip2-1.0.8/include -I/gnu/store/rnj26qklbw75sn0b74i802acshrijy66-file-5.46/include -I/gnu/store/5189xfjv3av3djx824k3vplzgam5yk1q-gawk-5.3.0/include -I/gnu/store/74kzd0881xh5b1b3cdq4pva26pywlpph-xz-5.4.5/include -I/gnu/store/mx9i9dnjx34lkx8k8r79876q2f5dclvr-make-4.4.1/include -I/gnu/store/x7x8y4c3ccn33sq7r9nb77y5d5mz3970-binutils-2.44/include -I/gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/include/c++ -I/gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/include -I/gnu/store/50jqiigxwa1s9xljqrl1wh85yncqnybr-glibc-2.41/include -I/gnu/store/4vxzslxx9fcvhcq0cqjr168shg8s1kwa-boost-1.83.0/include -I/gnu/store/r94kayv6y93mj1hgc13k11klha366dsw-eigen-3.4.0/include -I/gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/include -I/gnu/store/g34v9di9pw9d3p0z4gavygqp8197szky-qtwayland-5.15.17/include -I/gnu/store/ny8visn9x0y1r3bfylrirfbjprg3nh15-qtimgui-0.0-0.48d64a7/include -I/gnu/store/1zqziv4s5q2y8d3byhbzfz61hrpy4xrz-linux-libre-headers-6.12.17/include -I/gnu/store/lkr00hf38xnc265pziwm68bpii3xdg8q-imgui-1.86/include -I/gnu/store/52bc4k6kgp9cv4pinns4yfp5lagj8zqr-xorgproto-2024.1/include -I/gnu/store/9b6xbajrzba0jzvjpdw8sbnwk1iq6ja2-libxxf86vm-1.1.6/include -I/gnu/store/515l3riya9ffp834kd0fa0gn3xzqrdck-libxshmfence-1.3.3/include -I/gnu/store/mv71i3v40b2gqvqy1rfkc219cfihgwc0-libxfixes-6.0.1/include -I/gnu/store/4ab335v9nxqqfzvia87ccvgq33masz9a-libxdamage-1.1.6/include -I/gnu/store/vznnvmjy5q54gk0qvik9gi181li09wpf-libx11-1.8.12/include -I/gnu/store/dzbj2yv45vzrazlr6ywmzd828j4nx02p-libvdpau-1.5/include -I/gnu/store/56qrv5j1l2qfm1c4x0csidbprbq9ypcy-libdrm-2.4.124/include -I/gnu/store/1c41hrnadwb0a1af7n3skvj1kxznq29x-libxext-1.3.6/include -I/gnu/store/v60dfd1nbw4j0lk08ha0jfdmkin8xnpi-libxcb-1.17.0/include -I/gnu/store/v06qx4fqqy30fg4y3ls6p4c3g7wrmj89-libpciaccess-0.18.1/include -I/gnu/store/j461yp7q0sl48bbaj7f244aqycs3jwgl-libxdmcp-1.1.5/include -I/gnu/store/ywpvw7g27qcfr4clhdk2xxb5rijqfns3-libxau-1.0.12/include -I/gnu/store/rwwdavvfvn3d5nwwvmd4sf6bnw1qra88-zlib-1.3.1/include -I/gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/include/c++/aarch64-unknown-linux-gnu -I/gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/include/c++/backward -I/gnu/store/6ngp1bahsp96qmjnimvhf3hfi6abklsk-gcc-14.3.0-lib/lib/gcc/aarch64-unknown-linux-gnu/14.3.0/include -I/gnu/store/6ngp1bahsp96qmjnimvhf3hfi6abklsk-gcc-14.3.0-lib/lib/gcc/aarch64-unknown-linux-gnu/14.3.0/include-fixed AutoGen: Refreshing parse cache because it doesn't exist. AutoGen: Refreshing parse cache because it doesn't exist. AutoMoc: Generating "BIN:/himbaechel/uarch/gatemate/gui/nextpnr-himbaechel-gatemate-gui_autogen/moc_predefs.h", because it doesn't exist. /gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/bin/c++ -w -dM -E /gnu/store/d5c8i3b549r412lkhddggyfx9mqdphs7-cmake-minimal-3.31.10/share/cmake-3.31/Modules/CMakeCXXCompilerABI.cpp -DARCHNAME=himbaechel -DARCH_HIMBAECHEL -DNEXTPNR_NAMESPACE=nextpnr_himbaechel -DNO_RUST -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/himbaechel/uarch/gatemate/gui -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui -I/gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/include/python3.11 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/himbaechel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/frontend -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/json -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/QtPropertyBrowser/src -I/gnu/store/lkr00hf38xnc265pziwm68bpii3xdg8q-imgui-1.86/include/imgui -I/gnu/store/ny8visn9x0y1r3bfylrirfbjprg3nh15-qtimgui-0.0-0.48d64a7/include/qtimgui -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/python-console -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/python-console/modified -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/himbaechel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/common -I/gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5 -I/gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtWidgets -I/gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtGui -I/gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtCore -I/gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/lib/qt5/mkspecs/linux-g++ -I/gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtOpenGL -I/gnu/store/f76qdd5i5aiyhii5vfg8inpcwgv81dyk-mesa-25.2.3/include -I/gnu/store/3702dz9kiacbldynjm02a61ni75p7hk6-pybind11-2.13.6/include -I/gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include -I/gnu/store/fjnqbp82xlk7grb1phi377ifvy2ag4lp-iverilog-12.0/include -I/gnu/store/8plnc3lpnfhyqyy4khcjzm7lhnvvnnw7-googletest-1.17.0/include -I/gnu/store/7ykml1rwb7dmgsic1nvcwf3f8sj4wg6g-bzip2-1.0.8/include -I/gnu/store/rnj26qklbw75sn0b74i802acshrijy66-file-5.46/include -I/gnu/store/5189xfjv3av3djx824k3vplzgam5yk1q-gawk-5.3.0/include -I/gnu/store/74kzd0881xh5b1b3cdq4pva26pywlpph-xz-5.4.5/include -I/gnu/store/mx9i9dnjx34lkx8k8r79876q2f5dclvr-make-4.4.1/include -I/gnu/store/x7x8y4c3ccn33sq7r9nb77y5d5mz3970-binutils-2.44/include -I/gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/include/c++ -I/gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/include -I/gnu/store/50jqiigxwa1s9xljqrl1wh85yncqnybr-glibc-2.41/include -I/gnu/store/4vxzslxx9fcvhcq0cqjr168shg8s1kwa-boost-1.83.0/include -I/gnu/store/r94kayv6y93mj1hgc13k11klha366dsw-eigen-3.4.0/include -I/gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/include -I/gnu/store/g34v9di9pw9d3p0z4gavygqp8197szky-qtwayland-5.15.17/include -I/gnu/store/ny8visn9x0y1r3bfylrirfbjprg3nh15-qtimgui-0.0-0.48d64a7/include -I/gnu/store/1zqziv4s5q2y8d3byhbzfz61hrpy4xrz-linux-libre-headers-6.12.17/include -I/gnu/store/lkr00hf38xnc265pziwm68bpii3xdg8q-imgui-1.86/include -I/gnu/store/52bc4k6kgp9cv4pinns4yfp5lagj8zqr-xorgproto-2024.1/include -I/gnu/store/9b6xbajrzba0jzvjpdw8sbnwk1iq6ja2-libxxf86vm-1.1.6/include -I/gnu/store/515l3riya9ffp834kd0fa0gn3xzqrdck-libxshmfence-1.3.3/include -I/gnu/store/mv71i3v40b2gqvqy1rfkc219cfihgwc0-libxfixes-6.0.1/include -I/gnu/store/4ab335v9nxqqfzvia87ccvgq33masz9a-libxdamage-1.1.6/include -I/gnu/store/vznnvmjy5q54gk0qvik9gi181li09wpf-libx11-1.8.12/include -I/gnu/store/dzbj2yv45vzrazlr6ywmzd828j4nx02p-libvdpau-1.5/include -I/gnu/store/56qrv5j1l2qfm1c4x0csidbprbq9ypcy-libdrm-2.4.124/include -I/gnu/store/1c41hrnadwb0a1af7n3skvj1kxznq29x-libAutoMoc: Generating "BIN:/himbaechel/uarch/gowin/gui/nextpnr-himbaechel-gowin-gui_autogen/moc_predefs.h", because it doesn't exist. /gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/bin/c++ -w -dM -E /gnu/store/d5c8i3b549r412lkhddggyfx9mqdphs7-cmake-minimal-3.31.10/share/cmake-3.31/Modules/CMakeCXXCompilerABI.cpp -DARCHNAME=himbaechel -DARCH_HIMBAECHEL -DNEXTPNR_NAMESPACE=nextpnr_himbaechel -DNO_RUST -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/himbaechel/uarch/gowin/gui -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui -I/gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/include/python3.11 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/himbaechel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/frontend -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/json -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/QtPropertyBrowser/src -I/gnu/store/lkr00hf38xnc265pziwm68bpii3xdg8q-imgui-1.86/include/imgui -I/gnu/store/ny8visn9x0y1r3bfylrirfbjprg3nh15-qtimgui-0.0-0.48d64a7/include/qtimgui -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/python-console -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/python-console/modified -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/himbaechel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/common -I/gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5 -I/gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtWidgets -I/gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtGui -I/gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtCore -I/gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/lib/qt5/mkspecs/linux-g++ -I/gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtOpenGL -I/gnu/store/f76qdd5i5aiyhii5vfg8inpcwgv81dyk-mesa-25.2.3/include -I/gnu/store/3702dz9kiacbldynjm02a61ni75p7hk6-pybind11-2.13.6/include -I/gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include -I/gnu/store/fjnqbp82xlk7grb1phi377ifvy2ag4lp-iverilog-12.0/include -I/gnu/store/8plnc3lpnfhyqyy4khcjzm7lhnvvnnw7-googletest-1.17.0/include -I/gnu/store/7ykml1rwb7dmgsic1nvcwf3f8sj4wg6g-bzip2-1.0.8/include -I/gnu/store/rnj26qklbw75sn0b74i802acshrijy66-file-5.46/include -I/gnu/store/5189xfjv3av3djx824k3vplzgam5yk1q-gawk-5.3.0/include -I/gnu/store/74kzd0881xh5b1b3cdq4pva26pywlpph-xz-5.4.5/include -I/gnu/store/mx9i9dnjx34lkx8k8r79876q2f5dclvr-make-4.4.1/include -I/gnu/store/x7x8y4c3ccn33sq7r9nb77y5d5mz3970-binutils-2.44/include -I/gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/include/c++ -I/gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/include -I/gnu/store/50jqiigxwa1s9xljqrl1wh85yncqnybr-glibc-2.41/include -I/gnu/store/4vxzslxx9fcvhcq0cqjr168shg8s1kwa-boost-1.83.0/include -I/gnu/store/r94kayv6y93mj1hgc13k11klha366dsw-eigen-3.4.0/include -I/gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/include -I/gnu/store/g34v9di9pw9d3p0z4gavygqp8197szky-qtwayland-5.15.17/include -I/gnu/store/ny8visn9x0y1r3bfylrirfbjprg3nh15-qtimgui-0.0-0.48d64a7/include -I/gnu/store/1zqziv4s5q2y8d3byhbzfz61hrpy4xrz-linux-libre-headers-6.12.17/include -I/gnu/store/lkr00hf38xnc265pziwm68bpii3xdg8q-imgui-1.86/include -I/gnu/store/52bc4k6kgp9cv4pinns4yfp5lagj8zqr-xorgproto-2024.1/include -I/gnu/store/9b6xbajrzba0jzvjpdw8sbnwk1iq6ja2-libxxf86vm-1.1.6/include -I/gnu/store/515l3riya9ffp834kd0fa0gn3xzqrdck-libxshmfence-1.3.3/include -I/gnu/store/mv71i3v40b2gqvqy1rfkc219cfihgwc0-libxfixes-6.0.1/include -I/gnu/store/4ab335v9nxqqfzvia87ccvgq33masz9a-libxdamage-1.1.6/include -I/gnu/store/vznnvmjy5q54gk0qvik9gi181li09wpf-libx11-1.8.12/include -I/gnu/store/dzbj2yv45vzrazlr6ywmzd828j4nx02p-libvdpau-1.5/include -I/gnu/store/56qrv5j1l2qfm1c4x0csidbprbq9ypcy-libdrm-2.4.124/include -I/gnu/store/1c41hrnadwb0a1af7n3skvj1kxznq29x-libxext-1.3.6/include -I/gnu/store/v60dfd1nbw4j0lk08ha0jfdmkin8xnpi-libxcb-1.17.0/include -I/gnu/store/v06qx4fqqy30fg4y3ls6p4c3g7wrmj89-libpciaccess-0.18.1/include -I/gnu/store/j461yp7q0sl48bbaj7f244aqycs3jwgl-libxdmcp-1.1.5/include -I/gnu/store/ywpvw7g27qcfr4clhdk2xxb5rijqfns3-libxau-1.0.12/include -I/gnu/store/rwwdavvfvn3d5nwwvmd4sf6bnw1qra88-zlib-1.3.1/include -I/gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/include/c++/aarch64-unknown-linux-gnu -I/gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/include/c++/backward -I/gnu/store/6ngp1bahsp96qmjnimvhf3hfi6abklsk-gcc-14.3.0-lib/lib/gcc/aarch64-unknown-linux-gnu/14.3.0/include -I/gnu/store/6ngp1bahsp96qmjnimvhf3hfi6abklsk-gcc-14.3.0-lib/lib/gcc/aarch64-unknown-linux-gnu/14.3.0/include-fixed xext-1.3.6/include -I/gnu/store/v60dfd1nbw4j0lk08ha0jfdmkin8xnpi-libxcb-1.17.0/include -I/gnu/store/v06qx4fqqy30fg4y3ls6p4c3g7wrmj89-libpciaccess-0.18.1/include -I/gnu/store/j461yp7q0sl48bbaj7f244aqycs3jwgl-libxdmcp-1.1.5/include -I/gnu/store/ywpvw7g27qcfr4clhdk2xxb5rijqfns3-libxau-1.0.12/include -I/gnu/store/rwwdavvfvn3d5nwwvmd4sf6bnw1qra88-zlib-1.3.1/include -I/gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/include/c++/aarch64-unknown-linux-gnu -I/gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/include/c++/backward -I/gnu/store/6ngp1bahsp96qmjnimvhf3hfi6abklsk-gcc-14.3.0-lib/lib/gcc/aarch64-unknown-linux-gnu/14.3.0/include -I/gnu/store/6ngp1bahsp96qmjnimvhf3hfi6abklsk-gcc-14.3.0-lib/lib/gcc/aarch64-unknown-linux-gnu/14.3.0/include-fixed AutoGen: Parsing "BIN:/common/version.h" AutoGen: Parsing "SRC:/3rdparty/python-console/ColumnFormatter.h" AutoGen: Parsing "SRC:/3rdparty/python-console/ParseHelper.h" AutoGen: Parsing "BIN:/common/version.h" AutoGen: Parsing "SRC:/3rdparty/python-console/ParseMessage.h" AutoGen: Parsing "SRC:/3rdparty/python-console/modified/pyinterpreter.h" AutoGen: Parsing "SRC:/3rdparty/python-console/modified/pyredirector.h" AutoGen: Parsing "SRC:/gui/application.h" AutoGen: Parsing "BIN:/common/version.h" AutoGen: Parsing "SRC:/3rdparty/python-console/ColumnFormatter.h" AutoGen: Parsing "SRC:/gui/basewindow.h" AutoGen: Parsing "SRC:/3rdparty/python-console/ParseHelper.h" AutoGen: Parsing "SRC:/3rdparty/python-console/ParseMessage.h" AutoGen: Parsing "SRC:/3rdparty/python-console/ColumnFormatter.h" AutoGen: Parsing "SRC:/3rdparty/python-console/ParseHelper.h" AutoGen: Parsing "SRC:/gui/designwidget.h" AutoGen: Parsing "SRC:/3rdparty/python-console/ParseMessage.h" AutoGen: Parsing "SRC:/3rdparty/python-console/modified/pyinterpreter.h" AutoGen: Parsing "SRC:/3rdparty/python-console/modified/pyredirector.h" AutoGen: Parsing "SRC:/gui/application.h" AutoGen: Parsing "SRC:/gui/basewindow.h" AutoGen: Parsing "SRC:/gui/fpgaviewwidget.h" AutoGen: Parsing "SRC:/gui/designwidget.h" AutoGen: Parsing "SRC:/gui/fpgaviewwidget.h" AutoGen: Parsing "SRC:/gui/generic/mainwindow.h" AutoGen: Parsing "SRC:/gui/ice40/mainwindow.h" AutoGen: Parsing "SRC:/gui/line_editor.h" AutoGen: Parsing "SRC:/gui/line_editor.h" AutoGen: Parsing "SRC:/gui/lineshader.h" AutoGen: Parsing "SRC:/gui/lineshader.h" AutoGen: Parsing "SRC:/gui/pyconsole.h" AutoGen: Parsing "SRC:/gui/pyconsole.h" AutoGen: Parsing "SRC:/gui/pythontab.h" AutoGen: Parsing "SRC:/gui/quadtree.h" AutoGen: Parsing "SRC:/gui/pythontab.h" AutoGen: Parsing "SRC:/gui/treemodel.h" AutoGen: Parsing "SRC:/gui/quadtree.h" AutoGen: Parsing "SRC:/gui/worker.h" AutoGen: Parsing "SRC:/gui/treemodel.h" AutoGen: Parsing "SRC:/3rdparty/python-console/ColumnFormatter.cpp" AutoGen: Parsing "SRC:/3rdparty/python-console/ParseHelper.BlockParseState.cpp" AutoGen: Parsing "SRC:/3rdparty/python-console/ParseHelper.BracketParseState.cpp" AutoGen: Parsing "SRC:/3rdparty/python-console/ParseHelper.ContinuationParseState.cpp" AutoGen: Parsing "SRC:/3rdparty/python-console/ParseHelper.cpp" AutoGen: Parsing "SRC:/gui/worker.h" AutoGen: Parsing "SRC:/3rdparty/python-console/ParseMessage.cpp" AutoGen: Parsing "SRC:/3rdparty/python-console/modified/pyinterpreter.cc" AutoGen: Parsing "SRC:/3rdparty/python-console/ColumnFormatter.cpp" AutoGen: Parsing "SRC:/3rdparty/python-console/modified/pyredirector.cc" AutoGen: Parsing "SRC:/gui/application.cc" AutoGen: Parsing "SRC:/gui/basewindow.cc" AutoGen: Parsing "SRC:/3rdparty/python-console/ParseHelper.BlockParseState.cpp" AutoGen: Parsing "SRC:/3rdparty/python-console/ParseHelper.BracketParseState.cpp" AutoGen: Parsing "SRC:/3rdparty/python-console/ParseHelper.ContinuationParseState.cpp" AutoGen: Parsing "SRC:/gui/designwidget.cc" AutoGen: Parsing "SRC:/3rdparty/python-console/ParseHelper.cpp" AutoGen: Parsing "SRC:/3rdparty/python-console/ParseMessage.cpp" AutoGen: Parsing "SRC:/3rdparty/python-console/modified/pyinterpreter.cc" AutoGen: Parsing "SRC:/3rdparty/python-console/modified/pyredirector.cc" AutoGen: Parsing "SRC:/gui/application.cc" AutoGen: Parsing "SRC:/gui/basewindow.cc" AutoGen: Parsing "SRC:/gui/fpgaviewwidget.cc" AutoGen: Parsing "SRC:/gui/designwidget.cc" AutoGen: Parsing "SRC:/gui/ice40/mainwindow.cc" AutoGen: Parsing "SRC:/gui/line_editor.cc" AutoGen: Parsing "SRC:/gui/lineshader.cc" AutoGen: Parsing "SRC:/gui/pyconsole.cc" AutoGen: Parsing "SRC:/gui/pythontab.cc" AutoGen: Parsing "SRC:/gui/treemodel.cc" AutoGen: Parsing "SRC:/gui/worker.cc" AutoGen: Parsing "SRC:/gui/fpgaviewwidget.cc" AutoGen: Parsing "SRC:/3rdparty/python-console/modified/pyinterpreter.h" AutoGen: Parsing "SRC:/3rdparty/python-console/modified/pyredirector.h" AutoGen: Parsing "SRC:/gui/application.h" AutoGen: Parsing "SRC:/gui/basewindow.h" AutoGen: Parsing "SRC:/gui/generic/mainwindow.cc" AutoGen: Parsing "BIN:/common/version.h" AutoGen: Parsing "SRC:/gui/line_editor.cc" AutoGen: Parsing "SRC:/gui/designwidget.h" AutoGen: Parsing "SRC:/gui/lineshader.cc" AutoGen: Parsing "SRC:/3rdparty/python-console/ColumnFormatter.h" AutoGen: Parsing "SRC:/3rdparty/python-console/ParseHelper.h" AutoGen: Parsing "SRC:/gui/ecp5/mainwindow.h" AutoGen: Parsing "SRC:/gui/pyconsole.cc" AutoGen: Parsing "SRC:/gui/fpgaviewwidget.h" AutoGen: Parsing "SRC:/3rdparty/python-console/ParseMessage.h" AutoGen: Parsing "BIN:/common/version.h" AutoGen: Parsing "SRC:/gui/pythontab.cc" AutoGen: Parsing "SRC:/3rdparty/python-console/modified/pyinterpreter.h" AutoGen: Parsing "SRC:/3rdparty/python-console/modified/pyredirector.h" AutoGen: Parsing "SRC:/gui/line_editor.h" AutoGen: Parsing "SRC:/gui/treemodel.cc" AutoGen: Parsing "SRC:/gui/application.h" AutoGen: Parsing "SRC:/gui/basewindow.h" AutoGen: Parsing "SRC:/gui/lineshader.h" AutoGen: Parsing "SRC:/gui/worker.cc" AutoGen: Parsing "SRC:/3rdparty/python-console/ColumnFormatter.h" AutoGen: Parsing "SRC:/gui/pyconsole.h" AutoGen: Parsing "SRC:/gui/pythontab.h" AutoGen: Parsing "SRC:/gui/designwidget.h" AutoGen: Parsing "SRC:/3rdparty/python-console/ParseHelper.h" AutoGen: Parsing "SRC:/gui/quadtree.h" AutoGen: Parsing "SRC:/gui/fpgaviewwidget.h" AutoGen: Parsing "SRC:/3rdparty/python-console/ParseMessage.h" AutoGen: Parsing "SRC:/gui/treemodel.h" AutoGen: Parsing "SRC:/3rdparty/python-console/modified/pyinterpreter.h" AutoGen: Parsing "SRC:/gui/worker.h" AutoGen: Parsing "SRC:/3rdparty/python-console/modified/pyredirector.h" AutoGen: Parsing "SRC:/3rdparty/python-console/ColumnFormatter.cpp" AutoGen: Parsing "SRC:/gui/himbaechel/mainwindow.h" AutoMoc: Generating "BIN:/generic/gui/nextpnr-generic-gui_autogen/EWIEGA46WW/moc_basewindow.cpp", because it doesn't exist, from "SRC:/gui/basewindow.h" /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/bin/moc -DARCHNAME=generic -DARCH_GENERIC -DNEXTPNR_NAMESPACE=nextpnr_generic -DNO_RUST -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/generic/gui -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui -I/gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/include/python3.11 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/generic -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/frontend -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/json -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/QtPropertyBrowser/src -I/gnu/store/lkr00hf38xnc265pziwm68bpii3xdg8q-imgui-1.86/include/imgui -I/gnu/store/ny8visn9x0y1r3bfylrirfbjprg3nh15-qtimgui-0.0-0.48d64a7/include/qtimgui -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/python-console -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/python-console/modified -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/generic -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/common -I/gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5 -I/gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtWidgets -I/gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtGui -I/gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtCore -I/gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/lib/qt5/mkspecs/linux-g++ -I/gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtOpenGL -I/gnu/store/f76qdd5i5aiyhii5vfg8inpcwgv81dyk-mesa-25.2.3/include -I/gnu/store/3702dz9kiacbldynjm02a61ni75p7hk6-pybind11-2.13.6/include -I/gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include -I/gnu/store/fjnqbp82xlk7grb1phi377ifvy2ag4lp-iverilog-12.0/include -I/gnu/store/8plnc3lpnfhyqyy4khcjzm7lhnvvnnw7-googletest-1.17.0/include -I/gnu/store/7ykml1rwb7dmgsic1nvcwf3f8sj4wg6g-bzip2-1.0.8/include -I/gnu/store/rnj26qklbw75sn0b74i802acshrijy66-file-5.46/include -I/gnu/store/5189xfjv3av3djx824k3vplzgam5yk1q-gawk-5.3.0/include -I/gnu/store/74kzd0881xh5b1b3cdq4pva26pywlpph-xz-5.4.5/include -I/gnu/store/mx9i9dnjx34lkx8k8r79876q2f5dclvr-make-4.4.1/include -I/gnu/store/x7x8y4c3ccn33sq7r9nb77y5d5mz3970-binutils-2.44/include -I/gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/include/c++ -I/gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/include -I/gnu/store/50jqiigxwa1s9xljqrl1wh85yncqnybr-glibc-2.41/include -I/gnu/store/4vxzslxx9fcvhcq0cqjr168shg8s1kwa-boost-1.83.0/include -I/gnu/store/r94kayv6y93mj1hgc13k11klha366dsw-eigen-3.4.0/include -I/gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/include -I/gnu/store/g34v9di9pw9d3p0z4gavygqp8197szky-qtwayland-5.15.17/include -I/gnu/store/ny8visn9x0y1r3bfylrirfbjprg3nh15-qtimgui-0.0-0.48d64a7/include -I/gnu/store/1zqziv4s5q2y8d3byhbzfz61hrpy4xrz-linux-libre-headers-6.12.17/include -I/gnu/store/lkr00hf38xnc265pziwm68bpii3xdg8q-imgui-1.86/include -I/gnu/store/52bc4k6kgp9cv4pinns4yfp5lagj8zqr-xorgproto-2024.1/include -I/gnu/store/9b6xbajrzba0jzvjpdw8sbnwk1iq6ja2-libxxf86vm-1.1.6/include -I/gnu/store/515l3riya9ffp834kd0fa0gn3xzqrdck-libxshmfence-1.3.3/include -I/gnu/store/mv71i3v40b2gqvqy1rfkc219cfihgwc0-libxfixes-6.0.1/include -I/gnu/store/4ab335v9nxqqfzvia87ccvgq33masz9a-libxdamage-1.1.6/include -I/gnu/store/vznnvmjy5q54gk0qvik9gi181li09wpf-libx11-1.8.12/include -I/gnu/store/dzbj2yv45vzrazlr6ywmzd828j4nx02p-libvdpau-1.5/include -I/gnu/store/56qrv5j1l2qfm1c4x0csidbprbq9ypcy-libdrm-2.4.124/include -I/gnu/store/1c41hrnadwb0a1af7n3skvj1kxznq29x-libxext-1.3.6/include -I/gnu/store/v60dfd1nbw4j0lk08ha0jfdmkin8xnpi-libxcb-1.17.0/include -I/gnu/store/v06qx4fqqy30fg4y3ls6p4c3g7wrmj89-libpciAutoGen: Parsing "SRC:/3rdparty/python-console/ParseHelper.BlockParseState.cpp" access-0.18.1/include -I/gnu/store/j461yp7q0sl48bbaj7f244aqycs3jwgl-libxdmcp-1.1.5/include -I/gnu/store/ywpvw7g27qcfr4clhdk2xxb5rijqfns3-libxau-1.0.12/include -I/gnu/store/rwwdavvfvn3d5nwwvmd4sf6bnw1qra88-zlib-1.3.1/include -I/gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/include/c++/aarch64-unknown-linux-gnu -I/gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/include/c++/backward -I/gnu/store/6ngp1bahsp96qmjnimvhf3hfi6abklsk-gcc-14.3.0-lib/lib/gcc/aarch64-unknown-linux-gnu/14.3.0/include -I/gnu/store/6ngp1bahsp96qmjnimvhf3hfi6abklsk-gcc-14.3.0-lib/lib/gcc/aarch64-unknown-linux-gnu/14.3.0/include-fixed --include /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/generic/gui/nextpnr-generic-gui_autogen/moc_predefs.h --output-dep-file -o /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/generic/gui/nextpnr-generic-gui_autogen/EWIEGA46WW/moc_basewindow.cpp /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/basewindow.h AutoGen: Parsing "SRC:/gui/application.h" AutoGen: Parsing "SRC:/3rdparty/python-console/ParseHelper.BracketParseState.cpp" AutoGen: Parsing "SRC:/gui/basewindow.h" AutoGen: Parsing "SRC:/3rdparty/python-console/ParseHelper.ContinuationParseState.cpp" AutoGen: Parsing "SRC:/3rdparty/python-console/ParseHelper.cpp" AutoGen: Parsing "SRC:/3rdparty/python-console/ParseMessage.cpp" AutoGen: Parsing "SRC:/3rdparty/python-console/modified/pyinterpreter.cc" AutoGen: Parsing "SRC:/gui/line_editor.h" AutoGen: Parsing "SRC:/3rdparty/python-console/modified/pyredirector.cc" AutoGen: Parsing "SRC:/gui/application.cc" AutoGen: Parsing "SRC:/gui/basewindow.cc" AutoGen: Parsing "SRC:/gui/designwidget.h" AutoGen: Parsing "SRC:/gui/lineshader.h" AutoGen: Parsing "BIN:/common/version.h" AutoGen: Parsing "SRC:/gui/pyconsole.h" AutoGen: Parsing "SRC:/3rdparty/python-console/ColumnFormatter.h" AutoGen: Parsing "SRC:/gui/designwidget.cc" AutoGen: Parsing "SRC:/3rdparty/python-console/ParseHelper.h" AutoGen: Parsing "SRC:/gui/pythontab.h" AutoGen: Parsing "SRC:/3rdparty/python-console/ParseMessage.h" AutoGen: Parsing "SRC:/gui/quadtree.h" AutoGen: Parsing "SRC:/3rdparty/python-console/modified/pyinterpreter.h" AutoGen: Parsing "SRC:/gui/fpgaviewwidget.h" AutoGen: Parsing "SRC:/3rdparty/python-console/modified/pyredirector.h" AutoGen: Parsing "SRC:/gui/treemodel.h" AutoGen: Parsing "SRC:/gui/ecp5/mainwindow.cc" AutoGen: Parsing "SRC:/gui/fpgaviewwidget.cc" AutoGen: Parsing "SRC:/gui/himbaechel/mainwindow.h" AutoGen: Parsing "SRC:/gui/worker.h" AutoGen: Parsing "SRC:/gui/line_editor.h" AutoGen: Parsing "SRC:/3rdparty/python-console/ColumnFormatter.cpp" AutoGen: Parsing "SRC:/3rdparty/python-console/ParseHelper.BlockParseState.cpp" AutoGen: Parsing "SRC:/gui/application.h" AutoGen: Parsing "SRC:/gui/lineshader.h" AutoGen: Parsing "SRC:/gui/line_editor.cc" AutoGen: Parsing "SRC:/3rdparty/python-console/ParseHelper.BracketParseState.cpp" AutoGen: Parsing "SRC:/gui/lineshader.cc" AutoGen: Parsing "SRC:/gui/pyconsole.h" AutoGen: Parsing "SRC:/3rdparty/python-console/ParseHelper.ContinuationParseState.cpp" AutoGen: Parsing "SRC:/3rdparty/python-console/ParseHelper.cpp" AutoGen: Parsing "SRC:/gui/pyconsole.cc" AutoGen: Parsing "SRC:/gui/pythontab.cc" AutoGen: Parsing "SRC:/gui/basewindow.h" AutoGen: Parsing "SRC:/gui/treemodel.cc" AutoGen: Parsing "SRC:/gui/pythontab.h" AutoGen: Parsing "SRC:/3rdparty/python-console/ParseMessage.cpp" AutoGen: Parsing "SRC:/gui/worker.cc" AutoGen: Parsing "SRC:/3rdparty/python-console/modified/pyinterpreter.cc" AutoGen: Parsing "SRC:/gui/quadtree.h" AutoGen: Parsing "SRC:/3rdparty/python-console/modified/pyredirector.cc" AutoGen: Parsing "SRC:/gui/application.cc" AutoGen: Parsing "SRC:/gui/designwidget.h" AutoGen: Parsing "SRC:/gui/treemodel.h" AutoGen: Parsing "SRC:/gui/basewindow.cc" AutoGen: Parsing "SRC:/gui/fpgaviewwidget.h" AutoGen: Parsing "SRC:/gui/worker.h" AutoMoc: Generating "BIN:/ecp5/gui/nextpnr-ecp5-gui_autogen/EWIEGA46WW/moc_basewindow.cpp", because it doesn't exist, from "SRC:/gui/basewindow.h" /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/bin/moc -DARCHNAME=ecp5 -DARCH_ECP5 -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DNO_RUST -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/ecp5/gui -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui -I/gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/include/python3.11 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/ecp5 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/frontend -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/json -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/QtPropertyBrowser/src -I/gnu/store/lkr00hf38xnc265pziwm68bpii3xdg8q-imgui-1.86/include/imgui -I/gnu/store/ny8visn9x0y1r3bfylrirfbjprg3nh15-qtimgui-0.0-0.48d64a7/include/qtimgui -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/python-console -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/python-console/modified -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/ecp5 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/common -I/gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5 -I/gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtWidgets -I/gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtGui -I/gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtCore -I/gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/lib/qt5/mkspecs/linux-g++ -I/gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtOpenGL -I/gnu/store/f76qdd5i5aiyhii5vfg8inpcwgv81dyk-mesa-25.2.3/include -I/gnu/store/3702dz9kiacbldynjm02a61ni75p7hk6-pybind11-2.13.6/include -I/gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include -I/gnu/store/fjnqbp82xlk7grb1phi377ifvy2ag4lp-iverilog-12.0/include -I/gnu/store/8plnc3lpnfhyqyy4khcjzm7lhnvvnnw7-googletest-1.17.0/include -I/gnu/store/7ykml1rwb7dmgsic1nvcwf3f8sj4wg6g-bzip2-1.0.8/include -I/gnu/store/rnj26qklbw75sn0b74i802acshrijy66-file-5.46/include -I/gnu/store/5189xfjv3av3djx824k3vplzgam5yk1q-gawk-5.3.0/include -I/gnu/store/74kzd0881xh5b1b3cdq4pva26pywlpph-xz-5.4.5/include -I/gnu/store/mx9i9dnjx34lkx8k8r79876q2f5dclvr-make-4.4.1/include -I/gnu/store/x7x8y4c3ccn33sq7r9nb77y5d5mz3970-binutils-2.44/include -I/gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/include/c++ -I/gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/include -I/gnu/store/50jqiigxwa1s9xljqrl1wh85yncqnybr-glibc-2.41/include -I/gnu/store/4vxzslxx9fcvhcq0cqjr168shg8s1kwa-boost-1.83.0/include -I/gnu/store/r94kayv6y93mj1hgc13k11klha366dsw-eigen-3.4.0/include -I/gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/include -I/gnu/store/g34v9di9pw9d3p0z4gavygqp8197szky-qtwayland-5.15.17/include -I/gnu/store/ny8visn9x0y1r3bfylrirfbjprg3nh15-qtimgui-0.0-0.48d64a7/include -I/gnu/store/1zqziv4s5q2y8d3byhbzfz61hrpy4xrz-linux-libre-headers-6.12.17/include -I/gnu/store/lkr00hf38xnc265pziwm68bpii3xdg8q-imgui-1.86/include -I/gnu/store/52bc4k6kgp9cv4pinns4yfp5lagj8zqr-xorgproto-2024.1/include -I/gnu/store/9b6xbajrzba0jzvjpdw8sbnwk1iq6ja2-libxxf86vm-1.1.6/include -I/gnu/store/515l3riya9ffp834kd0fa0gn3xzqrdck-libxshmfence-1.3.3/include -I/gnu/store/mv71i3v40b2gqvqy1rfkc219cfihgwc0-libxfixes-6.0.1/include -I/gnu/store/4ab335v9nxqqfzvia87ccvgq33masz9a-libxdamage-1.1.6/include -I/gnu/store/vznnvmjy5q54gk0qvik9gi181li09wpf-libx11-1.8.12/include -I/gnu/store/dzbj2yv45vzrazlr6ywmzd828j4nx02p-libvdpau-1.5/include -I/gnu/store/56qrv5j1l2qfm1c4x0csidbprbq9ypcy-libdrm-2.4.124/include -I/gnu/store/1c41hrnadwb0a1af7n3skvj1kxznq29x-libxext-1.3.6/include -I/gnu/store/v60dfd1nbw4j0lk08ha0jfdmkin8xnpi-libxcb-1.17.0/include -I/gnu/store/v06qx4fqqy30fg4y3ls6p4c3g7wrmj89-libpciaccess-0.18.1/include -I/gnu/store/j461yp7q0sl48bbaj7f244aqycs3jwgl-libxdmcp-1.1.5/include -I/gnu/store/ywpvw7g27qcfr4clhdk2xxb5rijqfns3-libxau-1.0.12/include -I/gnu/store/rwwdavvfvn3d5nwwvmd4sf6bnw1qra88-zlib-1.3.1/include -I/gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/include/c++/aarch64-unknown-linux-gnu -I/gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/include/c++/backward -I/gnu/store/6ngp1bahsp96qmjnimvhf3hfi6abklsk-gcc-14.3.0-lib/lib/gcc/aarch64-unknown-linux-gnu/14.3.0/include -I/gnu/store/6ngp1bahsp96qmjnimvhf3hfi6abklsk-gcc-14.3.0-lib/lib/gcc/aarch64-unknown-linux-gnu/14.3.0/include-fixed --include /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/ecp5/gui/nextpnr-ecp5-gui_autogen/moc_predefs.h --output-dep-file -o /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/ecp5/gui/nextpnr-ecp5-gui_autogen/EWIEGA46WW/moc_basewindow.cpp /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/basewindow.h AutoGen: Parsing "SRC:/gui/designwidget.cc" AutoGen: Parsing "SRC:/3rdparty/python-console/ColumnFormatter.cpp" AutoGen: Parsing "SRC:/gui/himbaechel/mainwindow.h" AutoMoc: Generating "BIN:/ice40/gui/nextpnr-ice40-gui_autogen/EWIEGA46WW/moc_basewindow.cpp", because it doesn't exist, from "SRC:/gui/basewindow.h" /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/bin/moc -DARCHNAME=ice40 -DARCH_ICE40 -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DNO_RUST -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/ice40/gui -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui -I/gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/include/python3.11 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/ice40 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/frontend -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/json -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/QtPropertyBrowser/src -I/gnu/store/lkr00hf38xnc265pziwm68bpii3xdg8q-imgui-1.86/include/imgui -I/gnu/store/ny8visn9x0y1r3bfylrirfbjprg3nh15-qtimgui-0.0-0.48d64a7/include/qtimgui -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/python-console -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/python-console/modified -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/ice40 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/common -I/gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5 -I/gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtWidgets -I/gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtGui -I/gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtCore -I/gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/lib/qt5/mkspecs/linux-g++ -I/gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtOpenGL -I/gnu/store/f76qdd5i5aiyhii5vfg8inpcwgv81dyk-mesa-25.2.3/include -I/gnu/store/3702dz9kiacbldynjm02a61ni75p7hk6-pybind11-2.13.6/include -I/gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include -I/gnu/store/fjnqbp82xlk7grb1phi377ifvy2ag4lp-iverilog-12.0/include -I/gnu/store/8plnc3lpnfhyqyy4khcjzm7lhnvvnnw7-googletest-1.17.0/include -I/gnu/store/7ykml1rwb7dmgsic1nvcwf3f8sj4wg6g-bzip2-1.0.8/include -I/gnu/store/rnj26qklbw75sn0b74i802acshrijy66-file-5.46/include -I/gnu/store/5189xfjv3av3djx824k3vplzgam5yk1q-gawk-5.3.0/include -I/gnu/store/74kzd0881xh5b1b3cdq4pva26pywlpph-xz-5.4.5/include -I/gnu/store/mx9i9dnjx34lkx8k8r79876q2f5dclvr-make-4.4.1/include -I/gnu/store/x7x8y4c3ccn33sq7r9nb77y5d5mz3970-binutils-2.44/include -I/gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/include/c++ -I/gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/include -I/gnu/store/50jqiigxwa1s9xljqrl1wh85yncqnybr-glibc-2.41/include -I/gnu/store/4vxzslxx9fcvhcq0cqjr168shg8s1kwa-boost-1.83.0/include -I/gnu/store/r94kayv6y93mj1hgc13k11klha366dsw-eigen-3.4.0/include -I/gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/include -I/gnu/store/g34v9di9pw9d3p0z4gavygqp8197szky-qtwayland-5.15.17/include -I/gnu/store/ny8visn9x0y1r3bfylrirfbjprg3nh15-qtimgui-0.0-0.48d64a7/include -I/gnu/store/1zqziv4s5q2y8d3byhbzfz61hrpy4xrz-linux-libre-headers-6.12.17/include -I/gnu/store/lkr00hf38xnc265pziwm68bpii3xdg8q-imgui-1.86/include -I/gnu/store/52bc4k6kgp9cv4pinns4yfp5lagj8zqr-xorgproto-2024.1/include -I/gnu/store/9b6xbajrzba0jzvjpdw8sbnwk1iq6ja2-libxxf86vm-1.1.6/include -I/gnu/store/515l3riya9ffp834kd0fa0gn3xzqrdck-libxshmfence-1.3.3/include -I/gnu/store/mv71i3v40b2gqvqy1rfkc219cfihgwc0-libxfixes-6.0.1/include -I/gnu/store/4ab335v9nxqqfzvia87ccvgq33masz9a-libxdamage-1.1.6/include -I/gnu/store/vznnvmjy5q54gk0qvik9gi181li09wpf-libx11-1.8.12/include -I/gnu/store/dzbj2yv45vzrazlr6ywmzd828j4nx02p-libvdpau-1.5/include -I/gnu/store/56qrv5j1l2qfm1c4x0csidbprbq9ypcy-libdrm-2.4.124/include -I/gnu/store/1c41hrnadwb0a1af7n3skvj1kxznq29x-libxext-1.3.6/include -I/gnu/store/v60dfd1nbw4j0lk08ha0jfdmkin8xnpi-libxcb-1.17.0/include -I/gnu/store/v06qx4fqqy30fg4y3ls6p4c3g7wrmj89-libpciaccess-0.18.1/inAutoGen: Parsing "SRC:/3rdparty/python-console/ParseHelper.BlockParseState.cpp" AutoGen: Parsing "SRC:/gui/line_editor.h" clude -I/gnu/store/j461yp7q0sl48bbaj7f244aqycs3jwgl-libxdmcp-1.1.5/include -I/gnu/store/ywpvw7g27qcfr4clhdk2xxb5rijqfns3-libxau-1.0.12/include -I/gnu/store/rwwdavvfvn3d5nwwvmd4sf6bnw1qra88-zlib-1.3.1/include -I/gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/include/c++/aarch64-unknown-linux-gnu -I/gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/include/c++/backward -I/gnu/store/6ngp1bahsp96qmjnimvhf3hfi6abklsk-gcc-14.3.0-lib/lib/gcc/aarch64-unknown-linux-gnu/14.3.0/include -I/gnu/store/6ngp1bahsp96qmjnimvhf3hfi6abklsk-gcc-14.3.0-lib/lib/gcc/aarch64-unknown-linux-gnu/14.3.0/include-fixed --include /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/ice40/gui/nextpnr-ice40-gui_autogen/moc_predefs.h --output-dep-file -o /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/ice40/gui/nextpnr-ice40-gui_autogen/EWIEGA46WW/moc_basewindow.cpp /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/basewindow.h AutoGen: Parsing "SRC:/3rdparty/python-console/ParseHelper.BracketParseState.cpp" AutoGen: Parsing "SRC:/gui/lineshader.h" AutoGen: Parsing "SRC:/3rdparty/python-console/ParseHelper.ContinuationParseState.cpp" AutoGen: Parsing "SRC:/gui/pyconsole.h" AutoGen: Parsing "SRC:/3rdparty/python-console/ParseHelper.cpp" AutoGen: Parsing "SRC:/3rdparty/python-console/ParseMessage.cpp" AutoGen: Parsing "SRC:/gui/pythontab.h" AutoGen: Parsing "SRC:/3rdparty/python-console/modified/pyinterpreter.cc" AutoGen: Parsing "SRC:/gui/fpgaviewwidget.cc" AutoGen: Parsing "SRC:/3rdparty/python-console/modified/pyredirector.cc" AutoGen: Parsing "SRC:/gui/quadtree.h" AutoGen: Parsing "SRC:/gui/application.cc" AutoGen: Parsing "SRC:/gui/basewindow.cc" AutoGen: Parsing "SRC:/gui/treemodel.h" AutoGen: Parsing "SRC:/gui/himbaechel/mainwindow.cc" AutoGen: Parsing "SRC:/gui/line_editor.cc" AutoGen: Parsing "SRC:/gui/worker.h" AutoGen: Parsing "SRC:/gui/lineshader.cc" AutoGen: Parsing "SRC:/gui/designwidget.cc" AutoGen: Parsing "SRC:/3rdparty/python-console/ColumnFormatter.cpp" AutoGen: Parsing "SRC:/gui/pyconsole.cc" AutoGen: Parsing "SRC:/3rdparty/python-console/ParseHelper.BlockParseState.cpp" AutoGen: Parsing "SRC:/gui/pythontab.cc" AutoGen: Parsing "SRC:/3rdparty/python-console/ParseHelper.BracketParseState.cpp" AutoGen: Parsing "SRC:/gui/treemodel.cc" AutoGen: Parsing "SRC:/3rdparty/python-console/ParseHelper.ContinuationParseState.cpp" AutoGen: Parsing "SRC:/gui/worker.cc" AutoGen: Parsing "SRC:/3rdparty/python-console/ParseHelper.cpp" AutoGen: Parsing "SRC:/3rdparty/python-console/ParseMessage.cpp" AutoGen: Parsing "SRC:/gui/fpgaviewwidget.cc" AutoGen: Parsing "SRC:/3rdparty/python-console/modified/pyinterpreter.cc" AutoGen: Parsing "SRC:/3rdparty/python-console/modified/pyredirector.cc" AutoGen: Parsing "SRC:/gui/application.cc" AutoGen: Parsing "SRC:/gui/basewindow.cc" AutoMoc: Generating "BIN:/himbaechel/uarch/gowin/gui/nextpnr-himbaechel-gowin-gui_autogen/EWIEGA46WW/moc_basewindow.cpp", because it doesn't exist, from "SRC:/gui/basewindow.h" /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/bin/moc -DARCHNAME=himbaechel -DARCH_HIMBAECHEL -DNEXTPNR_NAMESPACE=nextpnr_himbaechel -DNO_RUST -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/himbaechel/uarch/gowin/gui -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui -I/gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/include/python3.11 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/himbaechel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/frontend -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/json -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/QtPropertyBrowser/src -I/gnu/store/lkr00hf38xnc265pziwm68bpii3xdg8q-imgui-1.86/include/imgui -I/gnu/store/ny8visn9x0y1r3bfylrirfbjprg3nh15-qtimgui-0.0-0.48d64a7/include/qtimgui -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/python-console -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/python-console/modified -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/himbaechel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/common -I/gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5 -I/gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtWidgets -I/gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtGui -I/gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtCore -I/gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/lib/qt5/mkspecs/linux-g++ -I/gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtOpenGL -I/gnu/store/f76qdd5i5aiyhii5vfg8inpcwgv81dyk-mesa-25.2.3/include -I/gnu/store/3702dz9kiacbldynjm02a61ni75p7hk6-pybind11-2.13.6/include -I/gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include -I/gnu/store/fjnqbp82xlk7grb1phi377ifvy2ag4lp-iverilog-12.0/include -I/gnu/store/8plnc3lpnfhyqyy4khcjzm7lhnvvnnw7-googletest-1.17.0/include -I/gnu/store/7ykml1rwb7dmgsic1nvcwf3f8sj4wg6g-bzip2-1.0.8/include -I/gnu/store/rnj26qklbw75sn0b74i802acshrijy66-file-5.46/include -I/gnu/store/5189xfjv3av3djx824k3vplzgam5yk1q-gawk-5.3.0/include -I/gnu/store/74kzd0881xh5b1b3cdq4pva26pywlpph-xz-5.4.5/include -I/gnu/store/mx9i9dnjx34lkx8k8r79876q2f5dclvr-make-4.4.1/include -I/gnu/store/x7x8y4c3ccn33sq7r9nb77y5d5mz3970-binutils-2.44/include -I/gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/include/c++ -I/gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/include -I/gnu/store/50jqiigxwa1s9xljqrl1wh85yncqnybr-glibc-2.41/include -I/gnu/store/4vxzslxx9fcvhcq0cqjr168shg8s1kwa-boost-1.83.0/include -I/gnu/store/r94kayv6y93mj1hgc13k11klha366dsw-eigen-3.4.0/include -I/gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/include -I/gnu/store/g34v9di9pw9d3p0z4gavygqp8197szky-qtwayland-5.15.17/include -I/gnu/store/ny8visn9x0y1r3bfylrirfbjprg3nh15-qtimgui-0.0-0.48d64a7/include -I/gnu/store/1zqziv4s5q2y8d3byhbzfz61hrpy4xrz-linux-libre-headers-6.12.17/include -I/gnu/store/lkr00hf38xnc265pziwm68bpii3xdg8q-imgui-1.86/include -I/gnu/store/52bc4k6kgp9cv4pinns4yfp5lagj8zqr-xorgproto-2024.1/include -I/gnu/store/9b6xbajrzba0jzvjpdw8sbnwk1iq6ja2-libxxf86vm-1.1.6/include -I/gnu/store/515l3riya9ffp834kd0fa0gn3xzqrdck-libxshmfence-1.3.3/include -I/gnu/store/mv71i3v40b2gqvqy1rfkc219cfihgwc0-libxfixes-6.0.1/include -I/gnu/store/4ab335v9nxqqfzvia87ccvgq33masz9a-libxdamage-1.1.6/include -I/gnu/store/vznnvmjy5q54gk0qvik9gi181li09wpf-libx11-1.8.12/include -I/gnu/store/dzbj2yv45vzrazlr6ywmzd828j4nx02p-libvdpau-1.5/include -I/gnu/store/56qrv5j1l2qfm1c4x0csidbprbq9ypcy-libdrm-2.4.124/include -I/gnu/store/1c41hrnadwb0a1af7n3skvj1kxznq29x-libxext-1.3.6/include -I/gnu/store/v60dfd1nbw4j0lk08ha0jfdmkin8xnpi-libxcb-1.17.0/includAutoGen: Parsing "SRC:/gui/himbaechel/mainwindow.cc" AutoGen: Parsing "SRC:/gui/line_editor.cc" AutoGen: Parsing "SRC:/gui/lineshader.cc" AutoGen: Parsing "SRC:/gui/designwidget.cc" AutoGen: Parsing "SRC:/gui/pyconsole.cc" AutoGen: Parsing "SRC:/gui/pythontab.cc" AutoGen: Parsing "SRC:/gui/treemodel.cc" AutoGen: Parsing "SRC:/gui/worker.cc" AutoGen: Parsing "SRC:/gui/fpgaviewwidget.cc" e -I/gnu/store/v06qx4fqqy30fg4y3ls6p4c3g7wrmj89-libpciaccess-0.18.1/include -I/gnu/store/j461yp7q0sl48bbaj7f244aqycs3jwgl-libxdmcp-1.1.5/include -I/gnu/store/ywpvw7g27qcfr4clhdk2xxb5rijqfns3-libxau-1.0.12/include -I/gnu/store/rwwdavvfvn3d5nwwvmd4sf6bnw1qra88-zlib-1.3.1/include -I/gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/include/c++/aarch64-unknown-linux-gnu -I/gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/include/c++/backward -I/gnu/store/6ngp1bahsp96qmjnimvhf3hfi6abklsk-gcc-14.3.0-lib/lib/gcc/aarch64-unknown-linux-gnu/14.3.0/include -I/gnu/store/6ngp1bahsp96qmjnimvhf3hfi6abklsk-gcc-14.3.0-lib/lib/gcc/aarch64-unknown-linux-gnu/14.3.0/include-fixed --include /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/himbaechel/uarch/gowin/gui/nextpnr-himbaechel-gowin-gui_autogen/moc_predefs.h --output-dep-file -o /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/himbaechel/uarch/gowin/gui/nextpnr-himbaechel-gowin-gui_autogen/EWIEGA46WW/moc_basewindow.cpp /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/basewindow.h AutoMoc: Generating "BIN:/himbaechel/uarch/gatemate/gui/nextpnr-himbaechel-gatemate-gui_autogen/EWIEGA46WW/moc_basewindow.cpp", because it doesn't exist, from "SRC:/gui/basewindow.h" /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/bin/moc -DARCHNAME=himbaechel -DARCH_HIMBAECHEL -DNEXTPNR_NAMESPACE=nextpnr_himbaechel -DNO_RUST -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/himbaechel/uarch/gatemate/gui -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui -I/gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/include/python3.11 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/himbaechel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/frontend -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/json -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/QtPropertyBrowser/src -I/gnu/store/lkr00hf38xnc265pziwm68bpii3xdg8q-imgui-1.86/include/imgui -I/gnu/store/ny8visn9x0y1r3bfylrirfbjprg3nh15-qtimgui-0.0-0.48d64a7/include/qtimgui -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/python-console -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/python-console/modified -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/himbaechel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/common -I/gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5 -I/gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtWidgets -I/gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtGui -I/gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtCore -I/gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/lib/qt5/mkspecs/linux-g++ -I/gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtOpenGL -I/gnu/store/f76qdd5i5aiyhii5vfg8inpcwgv81dyk-mesa-25.2.3/include -I/gnu/store/3702dz9kiacbldynjm02a61ni75p7hk6-pybind11-2.13.6/include -I/gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include -I/gnu/store/fjnqbp82xlk7grb1phi377ifvy2ag4lp-iverilog-12.0/include -I/gnu/store/8plnc3lpnfhyqyy4khcjzm7lhnvvnnw7-googletest-1.17.0/include -I/gnu/store/7ykml1rwb7dmgsic1nvcwf3f8sj4wg6g-bzip2-1.0.8/include -I/gnu/store/rnj26qklbw75sn0b74i802acshrijy66-file-5.46/include -I/gnu/store/5189xfjv3av3djx824k3vplzgam5yk1q-gawk-5.3.0/include -I/gnu/store/74kzd0881xh5b1b3cdq4pva26pywlpph-xz-5.4.5/include -I/gnu/store/mx9i9dnjx34lkx8k8r79876q2f5dclvr-make-4.4.1/include -I/gnu/store/x7x8y4c3ccn33sq7r9nb77y5d5mz3970-binutils-2.44/include -I/gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/include/c++ -I/gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/include -I/gnu/store/50jqiigxwa1s9xljqrl1wh85yncqnybr-glibc-2.41/include -I/gnu/store/4vxzslxx9fcvhcq0cqjr168shg8s1kwa-boost-1.83.0/include -I/gnu/store/r94kayv6y93mj1hgc13k11klha366dsw-eigen-3.4.0/include -I/gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/include -I/gnu/store/g34v9di9pw9d3p0z4gavygqp8197szky-qtwayland-5.15.17/include -I/gnu/store/ny8visn9x0y1r3bfylrirfbjprg3nh15-qtimgui-0.0-0.48d64a7/include -I/gnu/store/1zqziv4s5q2y8d3byhbzfz61hrpy4xrz-linux-libre-headers-6.12.17/include -I/gnu/store/lkr00hf38xnc265pziwm68bpii3xdg8q-imgui-1.86/include -I/gnu/store/52bc4k6kgp9cv4pinns4yfp5lagj8zqr-xorgproto-2024.1/include -I/gnu/store/9b6xbajrzba0jzvjpdw8sbnwk1iq6ja2-libxxf86vm-1.1.6/include -I/gnu/store/515l3riya9ffp834kd0fa0gn3xzqrdck-libxshmfence-1.3.3/include -I/gnu/store/mv71i3v40b2gqvqy1rfkc219cfihgwc0-libxfixes-6.0.1/include -I/gnu/store/4ab335v9nxqqfzvia87ccvgq33masz9a-libxdamage-1.1.6/include -I/gnu/store/vznnvmjy5q54gk0qvik9gi181li09wpf-libx11-1.8.12/include -I/gnu/store/dzbj2yv45vzrazlr6ywmzd828j4nx02p-libvdpau-1.5/include -I/gnu/store/56qrv5j1l2qfm1c4x0csidbprbq9ypcy-libdrm-2.4.124/include -I/gnu/store/1c41hrnadwb0a1af7n3skvj1kxznq29x-libxext-1.3.6/include -I/gnu/store/v60dfd1nbw4j0lk08ha0jfdmkin8xnpi-libxcb-1.17.0/include -I/gnu/store/v06qx4fqqy30fg4y3ls6p4c3g7wrmj89-libpciaccess-0.18.1/include -I/gnu/store/j461yp7q0sl48bbaj7f244aqycs3jwgl-libxdmcp-1.1.5/include -I/gnu/store/ywpvw7g27qcfr4clhdk2xxb5rijqfns3-libxau-1.0.12/include -I/gnu/store/rwwdavvfvn3d5nwwvmd4sf6bnw1qra88-zlib-1.3.1/include -I/gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/include/c++/aarch64-unknown-linux-gnu -I/gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/include/c++/backward -I/gnu/store/6ngp1bahsp96qmjnimvhf3hfi6abklsk-gcc-14.3.0-lib/lib/gcc/aarch64-unknown-linux-gnu/14.3.0/include -I/gnu/store/6ngp1bahsp96qmjnimvhf3hfi6abklsk-gcc-14.3.0-lib/lib/gcc/aarch64-unknown-linux-gnu/14.3.0/include-fixed --include /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/himbaechel/uarch/gatemate/gui/nextpnr-himbaechel-gatemate-gui_autogen/moc_predefs.h --output-dep-file -o /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/himbaechel/uarch/gatemate/gui/nextpnr-himbaechel-gatemate-gui_autogen/EWIEGA46WW/moc_basewindow.cpp /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/basewindow.h AutoGen: Parsing "SRC:/gui/himbaechel/mainwindow.cc" AutoGen: Parsing "SRC:/gui/line_editor.cc" AutoGen: Parsing "SRC:/gui/lineshader.cc" AutoGen: Parsing "SRC:/gui/pyconsole.cc" AutoGen: Parsing "SRC:/gui/pythontab.cc" AutoGen: Parsing "SRC:/gui/treemodel.cc" AutoGen: Parsing "SRC:/gui/worker.cc" AutoMoc: Generating "BIN:/himbaechel/uarch/ng-ultra/gui/nextpnr-himbaechel-ng-ultra-gui_autogen/EWIEGA46WW/moc_basewindow.cpp", because it doesn't exist, from "SRC:/gui/basewindow.h" /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/bin/moc -DARCHNAME=himbaechel -DARCH_HIMBAECHEL -DNEXTPNR_NAMESPACE=nextpnr_himbaechel -DNO_RUST -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/himbaechel/uarch/ng-ultra/gui -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui -I/gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/include/python3.11 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/himbaechel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/frontend -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/json -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/QtPropertyBrowser/src -I/gnu/store/lkr00hf38xnc265pziwm68bpii3xdg8q-imgui-1.86/include/imgui -I/gnu/store/ny8visn9x0y1r3bfylrirfbjprg3nh15-qtimgui-0.0-0.48d64a7/include/qtimgui -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/python-console -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/python-console/modified -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/himbaechel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/common -I/gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5 -I/gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtWidgets -I/gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtGui -I/gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtCore -I/gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/lib/qt5/mkspecs/linux-g++ -I/gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtOpenGL -I/gnu/store/f76qdd5i5aiyhii5vfg8inpcwgv81dyk-mesa-25.2.3/include -I/gnu/store/3702dz9kiacbldynjm02a61ni75p7hk6-pybind11-2.13.6/include -I/gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include -I/gnu/store/fjnqbp82xlk7grb1phi377ifvy2ag4lp-iverilog-12.0/include -I/gnu/store/8plnc3lpnfhyqyy4khcjzm7lhnvvnnw7-googletest-1.17.0/include -I/gnu/store/7ykml1rwb7dmgsic1nvcwf3f8sj4wg6g-bzip2-1.0.8/include -I/gnu/store/rnj26qklbw75sn0b74i802acshrijy66-file-5.46/include -I/gnu/store/5189xfjv3av3djx824k3vplzgam5yk1q-gawk-5.3.0/include -I/gnu/store/74kzd0881xh5b1b3cdq4pva26pywlpph-xz-5.4.5/include -I/gnu/store/mx9i9dnjx34lkx8k8r79876q2f5dclvr-make-4.4.1/include -I/gnu/store/x7x8y4c3ccn33sq7r9nb77y5d5mz3970-binutils-2.44/include -I/gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/include/c++ -I/gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/include -I/gnu/store/50jqiigxwa1s9xljqrl1wh85yncqnybr-glibc-2.41/include -I/gnu/store/4vxzslxx9fcvhcq0cqjr168shg8s1kwa-boost-1.83.0/include -I/gnu/store/r94kayv6y93mj1hgc13k11klha366dsw-eigen-3.4.0/include -I/gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/include -I/gnu/store/g34v9di9pw9d3p0z4gavygqp8197szky-qtwayland-5.15.17/include -I/gnu/store/ny8visn9x0y1r3bfylrirfbjprg3nh15-qtimgui-0.0-0.48d64a7/include -I/gnu/store/1zqziv4s5q2y8d3byhbzfz61hrpy4xrz-linux-libre-headers-6.12.17/include -I/gnu/store/lkr00hf38xnc265pziwm68bpii3xdg8q-imgui-1.86/include -I/gnu/store/52bc4k6kgp9cv4pinns4yfp5lagj8zqr-xorgproto-2024.1/include -I/gnu/store/9b6xbajrzba0jzvjpdw8sbnwk1iq6ja2-libxxf86vm-1.1.6/include -I/gnu/store/515l3riya9ffp834kd0fa0gn3xzqrdck-libxshmfence-1.3.3/include -I/gnu/store/mv71i3v40b2gqvqy1rfkc219cfihgwc0-libxfixes-6.0.1/include -I/gnu/store/4ab335v9nxqqfzvia87ccvgq33masz9a-libxdamage-1.1.6/include -I/gnu/store/vznnvmjy5q54gk0qvik9gi181li09wpf-libx11-1.8.12/include -I/gnu/store/dzbj2yv45vzrazlr6ywmzd828j4nx02p-libvdpau-1.5/include -I/gnu/store/56qrv5j1l2qfm1c4x0csidbprbq9ypcy-libdrm-2.4.124/include -I/gnu/store/1c41hrnadwb0a1af7n3skvj1kxznq29x-libxext-1.3.6/include -I/gnu/store/v60dfd1nbw4j0lk08ha0jfdmkin8xnpi-libxcb-1.17.0/include -I/gnu/store/v06qx4fqqy30fg4y3ls6p4c3g7wrmj89-libpciaccess-0.18.1/include -I/gnu/store/j461yp7q0sl48bbaj7f244aqycs3jwgl-libxdmcp-1.1.5/include -I/gnu/store/ywpvw7g27qcfr4clhdk2xxb5rijqfns3-libxau-1.0.12/include -I/gnu/store/rwwdavvfvn3d5nwwvmd4sf6bnw1qra88-zlib-1.3.1/include -I/gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/include/c++/aarch64-unknown-linux-gnu -I/gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/include/c++/backward -I/gnu/store/6ngp1bahsp96qmjnimvhf3hfi6abklsk-gcc-14.3.0-lib/lib/gcc/aarch64-unknown-linux-gnu/14.3.0/include -I/gnu/store/6ngp1bahsp96qmjnimvhf3hfi6abklsk-gcc-14.3.0-lib/lib/gcc/aarch64-unknown-linux-gnu/14.3.0/include-fixed --include /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/himbaechel/uarch/ng-ultra/gui/nextpnr-himbaechel-ng-ultra-gui_autogen/moc_predefs.h --output-dep-file -o /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/himbaechel/uarch/ng-ultra/gui/nextpnr-himbaechel-ng-ultra-gui_autogen/EWIEGA46WW/moc_basewindow.cpp /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/basewindow.h AutoMoc: Reading dependencies from "BIN:/ecp5/gui/nextpnr-ecp5-gui_autogen/EWIEGA46WW/moc_basewindow.cpp.d" AutoMoc: Generating "BIN:/ecp5/gui/nextpnr-ecp5-gui_autogen/EWIEGA46WW/moc_designwidget.cpp", because it doesn't exist, from "SRC:/gui/designwidget.h" /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/bin/moc -DARCHNAME=ecp5 -DARCH_ECP5 -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DNO_RUST -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/ecp5/gui -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui -I/gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/include/python3.11 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/ecp5 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/frontend -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/json -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/QtPropertyBrowser/src -I/gnu/store/lkr00hf38xnc265pziwm68bpii3xdg8q-imgui-1.86/include/imgui -I/gnu/store/ny8visn9x0y1r3bfylrirfbjprg3nh15-qtimgui-0.0-0.48d64a7/include/qtimgui -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/python-console -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/python-console/modified -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/ecp5 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/common -I/gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5 -I/gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtWidgets -I/gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtGui -I/gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtCore -I/gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/lib/qt5/mkspecs/linux-g++ -I/gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtOpenGL -I/gnu/store/f76qdd5i5aiyhii5vfg8inpcwgv81dyk-mesa-25.2.3/include -I/gnu/store/3702dz9kiacbldynjm02a61ni75p7hk6-pybind11-2.13.6/include -I/gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include -I/gnu/store/fjnqbp82xlk7grb1phi377ifvy2ag4lp-iverilog-12.0/include -I/gnu/store/8plnc3lpnfhyqyy4khcjzm7lhnvvnnw7-googletest-1.17.0/include -I/gnu/store/7ykml1rwb7dmgsic1nvcwf3f8sj4wg6g-bzip2-1.0.8/include -I/gnu/store/rnj26qklbw75sn0b74i802acshrijy66-file-5.46/include -I/gnu/store/5189xfjv3av3djx824k3vplzgam5yk1q-gawk-5.3.0/include -I/gnu/store/74kzd0881xh5b1b3cdq4pva26pywlpph-xz-5.4.5/include -I/gnu/store/mx9i9dnjx34lkx8k8r79876q2f5dclvr-make-4.4.1/include -I/gnu/store/x7x8y4c3ccn33sq7r9nb77y5d5mz3970-binutils-2.44/include -I/gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/include/c++ -I/gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/include -I/gnu/store/50jqiigxwa1s9xljqrl1wh85yncqnybr-glibc-2.41/include -I/gnu/store/4vxzslxx9fcvhcq0cqjr168shg8s1kwa-boost-1.83.0/include -I/gnu/store/r94kayv6y93mj1hgc13k11klha366dsw-eigen-3.4.0/include -I/gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/include -I/gnu/store/g34v9di9pw9d3p0z4gavygqp8197szky-qtwayland-5.15.17/include -I/gnu/store/ny8visn9x0y1r3bfylrirfbjprg3nh15-qtimgui-0.0-0.48d64a7/include -I/gnu/store/1zqziv4s5q2y8d3byhbzfz61hrpy4xrz-linux-libre-headers-6.12.17/include -I/gnu/store/lkr00hf38xnc265pziwm68bpii3xdg8q-imgui-1.86/include -I/gnu/store/52bc4k6kgp9cv4pinns4yfp5lagj8zqr-xorgproto-2024.1/include -I/gnu/store/9b6xbajrzba0jzvjpdw8sbnwk1iq6ja2-libxxf86vm-1.1.6/include -I/gnu/store/515l3riya9ffp834kd0fa0gn3xzqrdck-libxshmfence-1.3.3/include -I/gnu/store/mv71i3v40b2gqvqy1rfkc219cfihgwc0-libxfixes-6.0.1/include -I/gnu/store/4ab335v9nxqqfzvia87ccvgq33masz9a-libxdamage-1.1.6/include -I/gnu/store/vznnvmjy5q54gk0qvik9gi181li09wpf-libx11-1.8.12/include -I/gnu/store/dzbj2yv45vzrazlr6ywmzd828j4nx02p-libvdpau-1.5/include -I/gnu/store/56qrv5j1l2qfm1c4x0csidbprbq9ypcy-libdrm-2.4.124/include -I/gnu/store/1c41hrnadwb0a1af7n3skvj1kxznq29x-libxext-1.3.6/include -I/gnu/store/v60dfd1nbw4j0lk08ha0jfdmkin8xnpi-libxcb-1.17.0/include -I/gnu/store/v06qx4fqqy30fg4y3ls6p4c3g7wrmj89-libpciaccess-0.18.1/include -I/gnu/store/j461yp7q0sl48bbaj7f244aqycs3jwgl-libxdmcp-1.1.5/include -I/gnu/store/ywpvw7g27qcfr4clhdk2xxb5rijqfns3-libxau-1.0.12/include -I/gnu/store/rwwdavvfvn3d5nwwvmd4sf6bnw1qra88-zlib-1.3.1/include -I/gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/include/c++/aarch64-unknown-linux-gnu -I/gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/include/c++/backward -I/gnu/store/6ngp1bahsp96qmjnimvhf3hfi6abklsk-gcc-14.3.0-lib/lib/gcc/aarch64-unknown-linux-gnu/14.3.0/include -I/gnu/store/6ngp1bahsp96qmjnimvhf3hfi6abklsk-gcc-14.3.0-lib/lib/gcc/aarch64-unknown-linux-gnu/14.3.0/include-fixed --include /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/ecp5/gui/nextpnr-ecp5-gui_autogen/moc_predefs.h --output-dep-file -o /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/ecp5/gui/nextpnr-ecp5-gui_autogen/EWIEGA46WW/moc_designwidget.cpp /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/designwidget.h AutoMoc: Reading dependencies from "BIN:/generic/gui/nextpnr-generic-gui_autogen/EWIEGA46WW/moc_basewindow.cpp.d" AutoMoc: Generating "BIN:/generic/gui/nextpnr-generic-gui_autogen/EWIEGA46WW/moc_designwidget.cpp", because it doesn't exist, from "SRC:/gui/designwidget.h" /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/bin/moc -DARCHNAME=generic -DARCH_GENERIC -DNEXTPNR_NAMESPACE=nextpnr_generic -DNO_RUST -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/generic/gui -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui -I/gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/include/python3.11 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/generic -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/frontend -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/json -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/QtPropertyBrowser/src -I/gnu/store/lkr00hf38xnc265pziwm68bpii3xdg8q-imgui-1.86/include/imgui -I/gnu/store/ny8visn9x0y1r3bfylrirfbjprg3nh15-qtimgui-0.0-0.48d64a7/include/qtimgui -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/python-console -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/python-console/modified -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/generic -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/common -I/gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5 -I/gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtWidgets -I/gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtGui -I/gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtCore -I/gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/lib/qt5/mkspecs/linux-g++ -I/gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtOpenGL -I/gnu/store/f76qdd5i5aiyhii5vfg8inpcwgv81dyk-mesa-25.2.3/include -I/gnu/store/3702dz9kiacbldynjm02a61ni75p7hk6-pybind11-2.13.6/include -I/gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include -I/gnu/store/fjnqbp82xlk7grb1phi377ifvy2ag4lp-iverilog-12.0/include -I/gnu/store/8plnc3lpnfhyqyy4khcjzm7lhnvvnnw7-googletest-1.17.0/include -I/gnu/store/7ykml1rwb7dmgsic1nvcwf3f8sj4wg6g-bzip2-1.0.8/include -I/gnu/store/rnj26qklbw75sn0b74i802acshrijy66-file-5.46/include -I/gnu/store/5189xfjv3av3djx824k3vplzgam5yk1q-gawk-5.3.0/include -I/gnu/store/74kzd0881xh5b1b3cdq4pva26pywlpph-xz-5.4.5/include -I/gnu/store/mx9i9dnjx34lkx8k8r79876q2f5dclvr-make-4.4.1/include -I/gnu/store/x7x8y4c3ccn33sq7r9nb77y5d5mz3970-binutils-2.44/include -I/gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/include/c++ -I/gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/include -I/gnu/store/50jqiigxwa1s9xljqrl1wh85yncqnybr-glibc-2.41/include -I/gnu/store/4vxzslxx9fcvhcq0cqjr168shg8s1kwa-boost-1.83.0/include -I/gnu/store/r94kayv6y93mj1hgc13k11klha366dsw-eigen-3.4.0/include -I/gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/include -I/gnu/store/g34v9di9pw9d3p0z4gavygqp8197szky-qtwayland-5.15.17/include -I/gnu/store/ny8visn9x0y1r3bfylrirfbjprg3nh15-qtimgui-0.0-0.48d64a7/include -I/gnu/store/1zqziv4s5q2y8d3byhbzfz61hrpy4xrz-linux-libre-headers-6.12.17/include -I/gnu/store/lkr00hf38xnc265pziwm68bpii3xdg8q-imgui-1.86/include -I/gnu/store/52bc4k6kgp9cv4pinns4yfp5lagj8zqr-xorgproto-2024.1/include -I/gnu/store/9b6xbajrzba0jzvjpdw8sbnwk1iq6ja2-libxxf86vm-1.1.6/include -I/gnu/store/515l3riya9ffp834kd0fa0gn3xzqrdck-libxshmfence-1.3.3/include -I/gnu/store/mv71i3v40b2gqvqy1rfkc219cfihgwc0-libxfixes-6.0.1/include -I/gnu/store/4ab335v9nxqqfzvia87ccvgq33masz9a-libxdamage-1.1.6/include -I/gnu/store/vznnvmjy5q54gk0qvik9gi181li09wpf-libx11-1.8.12/include -I/gnu/store/dzbj2yv45vzrazlr6ywmzd828j4nx02p-libvdpau-1.5/include -I/gnu/store/56qrv5j1l2qfm1c4x0csidbprbq9ypcy-libdrm-2.4.124/include -I/gnu/store/1c41hrnadwb0a1af7n3skvj1kxznq29x-libxext-1.3.6/include -I/gnu/store/v60dfd1nbw4j0lk08ha0jfdmkin8xnpi-libxcb-1.17.0/include -I/gnu/store/v06qx4fqqy30fg4y3ls6p4c3g7wrmj89-libpciaccess-0.18.1/include -I/gnu/store/j461yp7q0sl48bbaj7f244aqycs3jwgl-libxdmcp-1.1.5/include -I/gnu/store/ywpvw7g27qcfr4clhdk2xxb5rijqfns3-libxau-1.0.12/include -I/gnu/store/rwwdavvfvn3d5nwwvmd4sf6bnw1qra88-zlib-1.3.1/include -I/gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/include/c++/aarch64-unknown-linux-gnu -I/gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/include/c++/backward -I/gnu/store/6ngp1bahsp96qmjnimvhf3hfi6abklsk-gcc-14.3.0-lib/lib/gcc/aarch64-unknown-linux-gnu/14.3.0/include -I/gnu/store/6ngp1bahsp96qmjnimvhf3hfi6abklsk-gcc-14.3.0-lib/lib/gcc/aarch64-unknown-linux-gnu/14.3.0/include-fixed --include /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/generic/gui/nextpnr-generic-gui_autogen/moc_predefs.h --output-dep-file -o /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/generic/gui/nextpnr-generic-gui_autogen/EWIEGA46WW/moc_designwidget.cpp /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/designwidget.h AutoMoc: Reading dependencies from "BIN:/ice40/gui/nextpnr-ice40-gui_autogen/EWIEGA46WW/moc_basewindow.cpp.d" AutoMoc: Generating "BIN:/ice40/gui/nextpnr-ice40-gui_autogen/EWIEGA46WW/moc_designwidget.cpp", because it doesn't exist, from "SRC:/gui/designwidget.h" /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/bin/moc -DARCHNAME=ice40 -DARCH_ICE40 -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DNO_RUST -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/ice40/gui -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui -I/gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/include/python3.11 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/ice40 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/frontend -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/json -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/QtPropertyBrowser/src -I/gnu/store/lkr00hf38xnc265pziwm68bpii3xdg8q-imgui-1.86/include/imgui -I/gnu/store/ny8visn9x0y1r3bfylrirfbjprg3nh15-qtimgui-0.0-0.48d64a7/include/qtimgui -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/python-console -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/python-console/modified -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/ice40 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/common -I/gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5 -I/gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtWidgets -I/gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtGui -I/gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtCore -I/gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/lib/qt5/mkspecs/linux-g++ -I/gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtOpenGL -I/gnu/store/f76qdd5i5aiyhii5vfg8inpcwgv81dyk-mesa-25.2.3/include -I/gnu/store/3702dz9kiacbldynjm02a61ni75p7hk6-pybind11-2.13.6/include -I/gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include -I/gnu/store/fjnqbp82xlk7grb1phi377ifvy2ag4lp-iverilog-12.0/include -I/gnu/store/8plnc3lpnfhyqyy4khcjzm7lhnvvnnw7-googletest-1.17.0/include -I/gnu/store/7ykml1rwb7dmgsic1nvcwf3f8sj4wg6g-bzip2-1.0.8/include -I/gnu/store/rnj26qklbw75sn0b74i802acshrijy66-file-5.46/include -I/gnu/store/5189xfjv3av3djx824k3vplzgam5yk1q-gawk-5.3.0/include -I/gnu/store/74kzd0881xh5b1b3cdq4pva26pywlpph-xz-5.4.5/include -I/gnu/store/mx9i9dnjx34lkx8k8r79876q2f5dclvr-make-4.4.1/include -I/gnu/store/x7x8y4c3ccn33sq7r9nb77y5d5mz3970-binutils-2.44/include -I/gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/include/c++ -I/gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/include -I/gnu/store/50jqiigxwa1s9xljqrl1wh85yncqnybr-glibc-2.41/include -I/gnu/store/4vxzslxx9fcvhcq0cqjr168shg8s1kwa-boost-1.83.0/include -I/gnu/store/r94kayv6y93mj1hgc13k11klha366dsw-eigen-3.4.0/include -I/gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/include -I/gnu/store/g34v9di9pw9d3p0z4gavygqp8197szky-qtwayland-5.15.17/include -I/gnu/store/ny8visn9x0y1r3bfylrirfbjprg3nh15-qtimgui-0.0-0.48d64a7/include -I/gnu/store/1zqziv4s5q2y8d3byhbzfz61hrpy4xrz-linux-libre-headers-6.12.17/include -I/gnu/store/lkr00hf38xnc265pziwm68bpii3xdg8q-imgui-1.86/include -I/gnu/store/52bc4k6kgp9cv4pinns4yfp5lagj8zqr-xorgproto-2024.1/include -I/gnu/store/9b6xbajrzba0jzvjpdw8sbnwk1iq6ja2-libxxf86vm-1.1.6/include -I/gnu/store/515l3riya9ffp834kd0fa0gn3xzqrdck-libxshmfence-1.3.3/include -I/gnu/store/mv71i3v40b2gqvqy1rfkc219cfihgwc0-libxfixes-6.0.1/include -I/gnu/store/4ab335v9nxqqfzvia87ccvgq33masz9a-libxdamage-1.1.6/include -I/gnu/store/vznnvmjy5q54gk0qvik9gi181li09wpf-libx11-1.8.12/include -I/gnu/store/dzbj2yv45vzrazlr6ywmzd828j4nx02p-libvdpau-1.5/include -I/gnu/store/56qrv5j1l2qfm1c4x0csidbprbq9ypcy-libdrm-2.4.124/include -I/gnu/store/1c41hrnadwb0a1af7n3skvj1kxznq29x-libxext-1.3.6/include -I/gnu/store/v60dfd1nbw4j0lk08ha0jfdmkin8xnpi-libxcb-1.17.0/include -I/gnu/store/v06qx4fqqy30fg4y3ls6p4c3g7wrmj89-libpciaccess-0.18.1/include -I/gnu/store/j461yp7q0sl48bbaj7f244aqycs3jwgl-libxdmcp-1.1.5/include -I/gnu/store/ywpvw7g27qcfr4clhdk2xxb5rijqfns3-libxau-1.0.12/include -I/gnu/store/rwwdavvfvn3d5nwwvmd4sf6bnw1qra88-zlib-1.3.1/include -I/gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/include/c++/aarch64-unknown-linux-gnu -I/gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/include/c++/backward -I/gnu/store/6ngp1bahsp96qmjnimvhf3hfi6abklsk-gcc-14.3.0-lib/lib/gcc/aarch64-unknown-linux-gnu/14.3.0/include -I/gnu/store/6ngp1bahsp96qmjnimvhf3hfi6abklsk-gcc-14.3.0-lib/lib/gcc/aarch64-unknown-linux-gnu/14.3.0/include-fixed --include /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/ice40/gui/nextpnr-ice40-gui_autogen/moc_predefs.h --output-dep-file -o /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/ice40/gui/nextpnr-ice40-gui_autogen/EWIEGA46WW/moc_designwidget.cpp /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/designwidget.h AutoMoc: Reading dependencies from "BIN:/himbaechel/uarch/gowin/gui/nextpnr-himbaechel-gowin-gui_autogen/EWIEGA46WW/moc_basewindow.cpp.d" AutoMoc: Reading dependencies from "BIN:/himbaechel/uarch/ng-ultra/gui/nextpnr-himbaechel-ng-ultra-gui_autogen/EWIEGA46WW/moc_basewindow.cpp.d" AutoMoc: Generating "BIN:/himbaechel/uarch/gowin/gui/nextpnr-himbaechel-gowin-gui_autogen/EWIEGA46WW/moc_designwidget.cpp", because it doesn't exist, from "SRC:/gui/designwidget.h" /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/bin/moc -DARCHNAME=himbaechel -DARCH_HIMBAECHEL -DNEXTPNR_NAMESPACE=nextpnr_himbaechel -DNO_RUST -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/himbaechel/uarch/gowin/gui -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui -I/gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/include/python3.11 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/himbaechel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/frontend -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/json -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/QtPropertyBrowser/src -I/gnu/store/lkr00hf38xnc265pziwm68bpii3xdg8q-imgui-1.86/include/imgui -I/gnu/store/ny8visn9x0y1r3bfylrirfbjprg3nh15-qtimgui-0.0-0.48d64a7/include/qtimgui -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/python-console -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/python-console/modified -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/himbaechel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/common -I/gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5 -I/gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtWidgets -I/gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtGui -I/gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtCore -I/gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/lib/qt5/mkspecs/linux-g++ -I/gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtOpenGL -I/gnu/store/f76qdd5i5aiyhii5vfg8inpcwgv81dyk-mesa-25.2.3/include -I/gnu/store/3702dz9kiacbldynjm02a61ni75p7hk6-pybind11-2.13.6/include -I/gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include -I/gnu/store/fjnqbp82xlk7grb1phi377ifvy2ag4lp-iverilog-12.0/include -I/gnu/store/8plnc3lpnfhyqyy4khcjzm7lhnvvnnw7-googletest-1.17.0/include -I/gnu/store/7ykml1rwb7dmgsic1nvcwf3f8sj4wg6g-bzip2-1.0.8/include -I/gnu/store/rnj26qklbw75sn0b74i802acshrijy66-file-5.46/include -I/gnu/store/5189xfjv3av3djx824k3vplzgam5yk1q-gawk-5.3.0/include -I/gnu/store/74kzd0881xh5b1b3cdq4pva26pywlpph-xz-5.4.5/include -I/gnu/store/mx9i9dnjx34lkx8k8r79876q2f5dclvr-make-4.4.1/include -I/gnu/store/x7x8y4c3ccn33sq7r9nb77y5d5mz3970-binutils-2.44/include -I/gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/include/c++ -I/gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/include -I/gnu/store/50jqiigxwa1s9xljqrl1wh85yncqnybr-glibc-2.41/include -I/gnu/store/4vxzslxx9fcvhcq0cqjr168shg8s1kwa-boost-1.83.0/include -I/gnu/store/r94kayv6y93mj1hgc13k11klha366dsw-eigen-3.4.0/include -I/gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/include -I/gnu/store/g34v9di9pw9d3p0z4gavygqp8197szky-qtwayland-5.15.17/include -I/gnu/store/ny8visn9x0y1r3bfylrirfbjprg3nh15-qtimgui-0.0-0.48d64a7/include -I/gnu/store/1zqziv4s5q2y8d3byhbzfz61hrpy4xrz-linux-libre-headers-6.12.17/include -I/gnu/store/lkr00hf38xnc265pziwm68bpii3xdg8q-imgui-1.86/include -I/gnu/store/52bc4k6kgp9cv4pinns4yfp5lagj8zqr-xorgproto-2024.1/include -I/gnu/store/9b6xbajrzba0jzvjpdw8sbnwk1iq6ja2-libxxf86vm-1.1.6/include -I/gnu/store/515l3riya9ffp834kd0fa0gn3xzqrdck-libxshmfence-1.3.3/include -I/gnu/store/mv71i3v40b2gqvqy1rfkc219cfihgwc0-libxfixes-6.0.1/include -I/gnu/store/4ab335v9nxqqfzvia87ccvgq33masz9a-libxdamage-1.1.6/include -I/gnu/store/vznnvmjy5q54gk0qvik9gi181li09wpf-libx11-1.8.12/include -I/gnu/store/dzbj2yv45vzrazlr6ywmzd828j4nx02p-libvdpau-1.5/include -I/gnu/store/56qrv5j1l2qfm1c4x0csidbprbq9ypcy-libdrm-2.4.124/include -I/gnu/store/1c41hrnadwb0a1af7n3skvj1kxznq29x-libxext-1.3.6/include -I/gnu/store/v60dfd1nbw4j0lk08ha0jfdmkin8xnpi-libxcb-1.17.0/inAutoMoc: Reading dependencies from "BIN:/himbaechel/uarch/gatemate/gui/nextpnr-himbaechel-gatemate-gui_autogen/EWIEGA46WW/moc_basewindow.cpp.d" clude -I/gnu/store/v06qx4fqqy30fg4y3ls6p4c3g7wrmj89-libpciaccess-0.18.1/include -I/gnu/store/j461yp7q0sl48bbaj7f244aqycs3jwgl-libxdmcp-1.1.5/include -I/gnu/store/ywpvw7g27qcfr4clhdk2xxb5rijqfns3-libxau-1.0.12/include -I/gnu/store/rwwdavvfvn3d5nwwvmd4sf6bnw1qra88-zlib-1.3.1/include -I/gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/include/c++/aarch64-unknown-linux-gnu -I/gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/include/c++/backward -I/gnu/store/6ngp1bahsp96qmjnimvhf3hfi6abklsk-gcc-14.3.0-lib/lib/gcc/aarch64-unknown-linux-gnu/14.3.0/include -I/gnu/store/6ngp1bahsp96qmjnimvhf3hfi6abklsk-gcc-14.3.0-lib/lib/gcc/aarch64-unknown-linux-gnu/14.3.0/include-fixed --include /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/himbaechel/uarch/gowin/gui/nextpnr-himbaechel-gowin-gui_autogen/moc_predefs.h --output-dep-file -o /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/himbaechel/uarch/gowin/gui/nextpnr-himbaechel-gowin-gui_autogen/EWIEGA46WW/moc_designwidget.cpp /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/designwidget.h AutoMoc: Generating "BIN:/himbaechel/uarch/ng-ultra/gui/nextpnr-himbaechel-ng-ultra-gui_autogen/EWIEGA46WW/moc_designwidget.cpp", because it doesn't exist, from "SRC:/gui/designwidget.h" /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/bin/moc -DARCHNAME=himbaechel -DARCH_HIMBAECHEL -DNEXTPNR_NAMESPACE=nextpnr_himbaechel -DNO_RUST -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/himbaechel/uarch/ng-ultra/gui -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui -I/gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/include/python3.11 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/himbaechel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/frontend -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/json -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/QtPropertyBrowser/src -I/gnu/store/lkr00hf38xnc265pziwm68bpii3xdg8q-imgui-1.86/include/imgui -I/gnu/store/ny8visn9x0y1r3bfylrirfbjprg3nh15-qtimgui-0.0-0.48d64a7/include/qtimgui -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/python-console -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/python-console/modified -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/himbaechel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/common -I/gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5 -I/gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtWidgets -I/gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtGui -I/gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtCore -I/gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/lib/qt5/mkspecs/linux-g++ -I/gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtOpenGL -I/gnu/store/f76qdd5i5aiyhii5vfg8inpcwgv81dyk-mesa-25.2.3/include -I/gnu/store/3702dz9kiacbldynjm02a61ni75p7hk6-pybind11-2.13.6/include -I/gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include -I/gnu/store/fjnqbp82xlk7grb1phi377ifvy2ag4lp-iverilog-12.0/include -I/gnu/store/8plnc3lpnfhyqyy4khcjzm7lhnvvnnw7-googletest-1.17.0/include -I/gnu/store/7ykml1rwb7dmgsic1nvcwf3f8sj4wg6g-bzip2-1.0.8/include -I/gnu/store/rnj26qklbw75sn0b74i802acshrijy66-file-5.46/include -I/gnu/store/5189xfjv3av3djx824k3vplzgam5yk1q-gawk-5.3.0/include -I/gnu/store/74kzd0881xh5b1b3cdq4pva26pywlpph-xz-5.4.5/include -I/gnu/store/mx9i9dnjx34lkx8k8r79876q2f5dclvr-make-4.4.1/include -I/gnu/store/x7x8y4c3ccn33sq7r9nb77y5d5mz3970-binutils-2.44/include -I/gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/include/c++ -I/gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/include -I/gnu/store/50jqiigxwa1s9xljqrl1wh85yncqnybr-glibc-2.41/include -I/gnu/store/4vxzslxx9fcvhcq0cqjr168shg8s1kwa-boost-1.83.0/include -I/gnu/store/r94kayv6y93mj1hgc13k11klha366dsw-eigen-3.4.0/include -I/gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/include -I/gnu/store/g34v9di9pw9d3p0z4gavygqp8197szky-qtwayland-5.15.17/include -I/gnu/store/ny8visn9x0y1r3bfylrirfbjprg3nh15-qtimgui-0.0-0.48d64a7/include -I/gnu/store/1zqziv4s5q2y8d3byhbzfz61hrpy4xrz-linux-libre-headers-6.12.17/include -I/gnu/store/lkr00hf38xnc265pziwm68bpii3xdg8q-imgui-1.86/include -I/gnu/store/52bc4k6kgp9cv4pinns4yfp5lagj8zqr-xorgproto-2024.1/include -I/gnu/store/9b6xbajrzba0jzvjpdw8sbnwk1iq6ja2-libxxf86vm-1.1.6/include -I/gnu/store/515l3riya9ffp834kd0fa0gn3xzqrdck-libxshmfence-1.3.3/include -I/gnu/store/mv71i3v40b2gqvqy1rfkc219cfihgwc0-libxfixes-6.0.1/include -I/gnu/store/4ab335v9nxqqfzvia87ccvgq33masz9a-libxdamage-1.1.6/include -I/gnu/store/vznnvmjy5q54gk0qvik9gi181li09wpf-libx11-1.8.12/include -I/gnu/store/dzbj2yv45vzrazlr6ywmzd828j4nx02p-libvdpau-1.5/include -I/gnu/store/56qrv5j1l2qfm1c4x0csidbprbq9ypcy-libdrm-2.4.124/include -I/gnu/store/1c41hrnadwb0a1af7n3skvj1kxznq29x-libxext-1.3.6/include -I/gnu/store/v60dfd1nbw4j0lk08ha0jfdmkin8xnpi-libxcb-1.17.0/include -I/gnu/store/v06qx4fqqy30fg4y3ls6p4c3g7wrmj89-libpciaccess-0.18.1/include -I/gnu/store/j461yp7q0sl48bbaj7f244aqycs3jwgl-libxdmcp-1.1.5/include -I/gnu/store/ywpvw7g27qcfr4clhdk2xxb5rijqfns3-libxau-1.0.12/include -I/gnu/store/rwwdavvfvn3d5nwwvmd4sf6bnw1qra88-zlib-1.3.1/include -I/gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/include/c++/aarch64-unknown-linux-gnu -I/gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/include/c++/backward -I/gnu/store/6ngp1bahsp96qmjnimvhf3hfi6abklsk-gcc-14.3.0-lib/lib/gcc/aarch64-unknown-linux-gnu/14.3.0/include -I/gnu/store/6ngp1bahsp96qmjnimvhf3hfi6abklsk-gcc-14.3.0-lib/lib/gcc/aarch64-unknown-linux-gnu/14.3.0/include-fixed --include /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/himbaechel/uarch/ng-ultra/gui/nextpnr-himbaechel-ng-ultra-gui_autogen/moc_predefs.h --output-dep-file -o /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/himbaechel/uarch/ng-ultra/gui/nextpnr-himbaechel-ng-ultra-gui_autogen/EWIEGA46WW/moc_designwidget.cpp /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/designwidget.h AutoMoc: Generating "BIN:/himbaechel/uarch/gatemate/gui/nextpnr-himbaechel-gatemate-gui_autogen/EWIEGA46WW/moc_designwidget.cpp", because it doesn't exist, from "SRC:/gui/designwidget.h" /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/bin/moc -DARCHNAME=himbaechel -DARCH_HIMBAECHEL -DNEXTPNR_NAMESPACE=nextpnr_himbaechel -DNO_RUST -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/himbaechel/uarch/gatemate/gui -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui -I/gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/include/python3.11 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/himbaechel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/frontend -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/json -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/QtPropertyBrowser/src -I/gnu/store/lkr00hf38xnc265pziwm68bpii3xdg8q-imgui-1.86/include/imgui -I/gnu/store/ny8visn9x0y1r3bfylrirfbjprg3nh15-qtimgui-0.0-0.48d64a7/include/qtimgui -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/python-console -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/python-console/modified -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/himbaechel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/common -I/gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5 -I/gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtWidgets -I/gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtGui -I/gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtCore -I/gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/lib/qt5/mkspecs/linux-g++ -I/gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtOpenGL -I/gnu/store/f76qdd5i5aiyhii5vfg8inpcwgv81dyk-mesa-25.2.3/include -I/gnu/store/3702dz9kiacbldynjm02a61ni75p7hk6-pybind11-2.13.6/include -I/gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include -I/gnu/store/fjnqbp82xlk7grb1phi377ifvy2ag4lp-iverilog-12.0/include -I/gnu/store/8plnc3lpnfhyqyy4khcjzm7lhnvvnnw7-googletest-1.17.0/include -I/gnu/store/7ykml1rwb7dmgsic1nvcwf3f8sj4wg6g-bzip2-1.0.8/include -I/gnu/store/rnj26qklbw75sn0b74i802acshrijy66-file-5.46/include -I/gnu/store/5189xfjv3av3djx824k3vplzgam5yk1q-gawk-5.3.0/include -I/gnu/store/74kzd0881xh5b1b3cdq4pva26pywlpph-xz-5.4.5/include -I/gnu/store/mx9i9dnjx34lkx8k8r79876q2f5dclvr-make-4.4.1/include -I/gnu/store/x7x8y4c3ccn33sq7r9nb77y5d5mz3970-binutils-2.44/include -I/gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/include/c++ -I/gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/include -I/gnu/store/50jqiigxwa1s9xljqrl1wh85yncqnybr-glibc-2.41/include -I/gnu/store/4vxzslxx9fcvhcq0cqjr168shg8s1kwa-boost-1.83.0/include -I/gnu/store/r94kayv6y93mj1hgc13k11klha366dsw-eigen-3.4.0/include -I/gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/include -I/gnu/store/g34v9di9pw9d3p0z4gavygqp8197szky-qtwayland-5.15.17/include -I/gnu/store/ny8visn9x0y1r3bfylrirfbjprg3nh15-qtimgui-0.0-0.48d64a7/include -I/gnu/store/1zqziv4s5q2y8d3byhbzfz61hrpy4xrz-linux-libre-headers-6.12.17/include -I/gnu/store/lkr00hf38xnc265pziwm68bpii3xdg8q-imgui-1.86/include -I/gnu/store/52bc4k6kgp9cv4pinns4yfp5lagj8zqr-xorgproto-2024.1/include -I/gnu/store/9b6xbajrzba0jzvjpdw8sbnwk1iq6ja2-libxxf86vm-1.1.6/include -I/gnu/store/515l3riya9ffp834kd0fa0gn3xzqrdck-libxshmfence-1.3.3/include -I/gnu/store/mv71i3v40b2gqvqy1rfkc219cfihgwc0-libxfixes-6.0.1/include -I/gnu/store/4ab335v9nxqqfzvia87ccvgq33masz9a-libxdamage-1.1.6/include -I/gnu/store/vznnvmjy5q54gk0qvik9gi181li09wpf-libx11-1.8.12/include -I/gnu/store/dzbj2yv45vzrazlr6ywmzd828j4nx02p-libvdpau-1.5/include -I/gnu/store/56qrv5j1l2qfm1c4x0csidbprbq9ypcy-libdrm-2.4.124/include -I/gnu/store/1c41hrnadwb0a1af7n3skvj1kxznq29x-libxext-1.3.6/include -I/gnu/store/v60dfd1nbw4j0lk08ha0jfdmkin8xnpi-libxcb-1.17.0/include -I/gnu/store/v06qx4fqqy30fg4y3ls6p4c3g7wrmj89-libpciaccess-0.18.1/include -I/gnu/store/j461yp7q0sl48bbaj7f244aqycs3jwgl-libxdmcp-1.1.5/include -I/gnu/store/ywpvw7g27qcfr4clhdk2xxb5rijqfns3-libxau-1.0.12/include -I/gnu/store/rwwdavvfvn3d5nwwvmd4sf6bnw1qra88-zlib-1.3.1/include -I/gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/include/c++/aarch64-unknown-linux-gnu -I/gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/include/c++/backward -I/gnu/store/6ngp1bahsp96qmjnimvhf3hfi6abklsk-gcc-14.3.0-lib/lib/gcc/aarch64-unknown-linux-gnu/14.3.0/include -I/gnu/store/6ngp1bahsp96qmjnimvhf3hfi6abklsk-gcc-14.3.0-lib/lib/gcc/aarch64-unknown-linux-gnu/14.3.0/include-fixed --include /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/himbaechel/uarch/gatemate/gui/nextpnr-himbaechel-gatemate-gui_autogen/moc_predefs.h --output-dep-file -o /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/himbaechel/uarch/gatemate/gui/nextpnr-himbaechel-gatemate-gui_autogen/EWIEGA46WW/moc_designwidget.cpp /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/designwidget.h Deduplicating tile shapes... 220 unique tile routing shapes cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/himbaechel/uarch/gowin && /gnu/store/d5c8i3b549r412lkhddggyfx9mqdphs7-cmake-minimal-3.31.10/bin/cmake -E rename /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/himbaechel/uarch/gowin/chipdb-GW1N-1.bba.new /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/himbaechel/uarch/gowin/chipdb-GW1N-1.bba cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/himbaechel/uarch/gowin && /gnu/store/d5c8i3b549r412lkhddggyfx9mqdphs7-cmake-minimal-3.31.10/bin/cmake -E copy /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/himbaechel/uarch/gowin/chipdb-GW1N-1.bba /gnu/store/davzxg5m27gz2djfkghkz3pb7ys8gvgi-nextpnr-ice40-0.9-0.ad76625-bba/share/nextpnr/bba-files/himbaechel/uarch/gowin/chipdb-GW1N-1.bba [ 8%] Generating chipdb-GW1N-1.bin cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/himbaechel/uarch/gowin && ../../../bba/bbasm --le /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/himbaechel/uarch/gowin/chipdb-GW1N-1.bba /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/himbaechel/uarch/gowin/chipdb-GW1N-1.bin Deduplicating tile shapes... 220 unique tile routing shapes cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/himbaechel/uarch/gowin && /gnu/store/d5c8i3b549r412lkhddggyfx9mqdphs7-cmake-minimal-3.31.10/bin/cmake -E rename /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/himbaechel/uarch/gowin/chipdb-GW1NZ-1.bba.new /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/himbaechel/uarch/gowin/chipdb-GW1NZ-1.bba cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/himbaechel/uarch/gowin && /gnu/store/d5c8i3b549r412lkhddggyfx9mqdphs7-cmake-minimal-3.31.10/bin/cmake -E copy /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/himbaechel/uarch/gowin/chipdb-GW1NZ-1.bba /gnu/store/davzxg5m27gz2djfkghkz3pb7ys8gvgi-nextpnr-ice40-0.9-0.ad76625-bba/share/nextpnr/bba-files/himbaechel/uarch/gowin/chipdb-GW1NZ-1.bba [ 8%] Generating chipdb-GW1NZ-1.bin cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/himbaechel/uarch/gowin && ../../../bba/bbasm --le /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/himbaechel/uarch/gowin/chipdb-GW1NZ-1.bba /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/himbaechel/uarch/gowin/chipdb-GW1NZ-1.bin cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/himbaechel/uarch/gowin && /gnu/store/d5c8i3b549r412lkhddggyfx9mqdphs7-cmake-minimal-3.31.10/bin/cmake -E make_directory /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/share/himbaechel/gowin cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/himbaechel/uarch/gowin && /gnu/store/d5c8i3b549r412lkhddggyfx9mqdphs7-cmake-minimal-3.31.10/bin/cmake -E copy /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/himbaechel/uarch/gowin/chipdb-GW1N-1.bin /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/share/himbaechel/gowin/chipdb-GW1N-1.bin cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/himbaechel/uarch/gowin && /gnu/store/d5c8i3b549r412lkhddggyfx9mqdphs7-cmake-minimal-3.31.10/bin/cmake -E make_directory /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/share/himbaechel/gowin cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/himbaechel/uarch/gowin && /gnu/store/d5c8i3b549r412lkhddggyfx9mqdphs7-cmake-minimal-3.31.10/bin/cmake -E copy /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/himbaechel/uarch/gowin/chipdb-GW1NZ-1.bin /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/share/himbaechel/gowin/chipdb-GW1NZ-1.bin AutoMoc: Reading dependencies from "BIN:/ecp5/gui/nextpnr-ecp5-gui_autogen/EWIEGA46WW/moc_designwidget.cpp.d" AutoMoc: Generating "BIN:/ecp5/gui/nextpnr-ecp5-gui_autogen/OOLTENM7GT/moc_mainwindow.cpp", because it doesn't exist, from "SRC:/gui/ecp5/mainwindow.h" /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/bin/moc -DARCHNAME=ecp5 -DARCH_ECP5 -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DNO_RUST -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/ecp5/gui -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui -I/gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/include/python3.11 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/ecp5 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/frontend -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/json -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/QtPropertyBrowser/src -I/gnu/store/lkr00hf38xnc265pziwm68bpii3xdg8q-imgui-1.86/include/imgui -I/gnu/store/ny8visn9x0y1r3bfylrirfbjprg3nh15-qtimgui-0.0-0.48d64a7/include/qtimgui -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/python-console -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/python-console/modified -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/ecp5 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/common -I/gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5 -I/gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtWidgets -I/gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtGui -I/gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtCore -I/gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/lib/qt5/mkspecs/linux-g++ -I/gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtOpenGL -I/gnu/store/f76qdd5i5aiyhii5vfg8inpcwgv81dyk-mesa-25.2.3/include -I/gnu/store/3702dz9kiacbldynjm02a61ni75p7hk6-pybind11-2.13.6/include -I/gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include -I/gnu/store/fjnqbp82xlk7grb1phi377ifvy2ag4lp-iverilog-12.0/include -I/gnu/store/8plnc3lpnfhyqyy4khcjzm7lhnvvnnw7-googletest-1.17.0/include -I/gnu/store/7ykml1rwb7dmgsic1nvcwf3f8sj4wg6g-bzip2-1.0.8/include -I/gnu/store/rnj26qklbw75sn0b74i802acshrijy66-file-5.46/include -I/gnu/store/5189xfjv3av3djx824k3vplzgam5yk1q-gawk-5.3.0/include -I/gnu/store/74kzd0881xh5b1b3cdq4pva26pywlpph-xz-5.4.5/include -I/gnu/store/mx9i9dnjx34lkx8k8r79876q2f5dclvr-make-4.4.1/include -I/gnu/store/x7x8y4c3ccn33sq7r9nb77y5d5mz3970-binutils-2.44/include -I/gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/include/c++ -I/gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/include -I/gnu/store/50jqiigxwa1s9xljqrl1wh85yncqnybr-glibc-2.41/include -I/gnu/store/4vxzslxx9fcvhcq0cqjr168shg8s1kwa-boost-1.83.0/include -I/gnu/store/r94kayv6y93mj1hgc13k11klha366dsw-eigen-3.4.0/include -I/gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/include -I/gnu/store/g34v9di9pw9d3p0z4gavygqp8197szky-qtwayland-5.15.17/include -I/gnu/store/ny8visn9x0y1r3bfylrirfbjprg3nh15-qtimgui-0.0-0.48d64a7/include -I/gnu/store/1zqziv4s5q2y8d3byhbzfz61hrpy4xrz-linux-libre-headers-6.12.17/include -I/gnu/store/lkr00hf38xnc265pziwm68bpii3xdg8q-imgui-1.86/include -I/gnu/store/52bc4k6kgp9cv4pinns4yfp5lagj8zqr-xorgproto-2024.1/include -I/gnu/store/9b6xbajrzba0jzvjpdw8sbnwk1iq6ja2-libxxf86vm-1.1.6/include -I/gnu/store/515l3riya9ffp834kd0fa0gn3xzqrdck-libxshmfence-1.3.3/include -I/gnu/store/mv71i3v40b2gqvqy1rfkc219cfihgwc0-libxfixes-6.0.1/include -I/gnu/store/4ab335v9nxqqfzvia87ccvgq33masz9a-libxdamage-1.1.6/include -I/gnu/store/vznnvmjy5q54gk0qvik9gi181li09wpf-libx11-1.8.12/include -I/gnu/store/dzbj2yv45vzrazlr6ywmzd828j4nx02p-libvdpau-1.5/include -I/gnu/store/56qrv5j1l2qfm1c4x0csidbprbq9ypcy-libdrm-2.4.124/include -I/gnu/store/1c41hrnadwb0a1af7n3skvj1kxznq29x-libxext-1.3.6/include -I/gnu/store/v60dfd1nbw4j0lk08ha0jfdmkin8xnpi-libxcb-1.17.0/include -I/gnu/store/v06qx4fqqy30fg4y3ls6p4c3g7wrmj89-libpciaccess-0.18.1/include -I/gnu/store/j461yp7q0sl48bbaj7f244aqycs3jwgl-libxdmcp-1.1.5/include -I/gnu/store/ywpvw7g27qcfr4clhdk2xxb5rijqfns3-libxau-1.0.12/include -I/gnu/store/rwwdavvfvn3d5nwwvmd4sf6bnw1qra88-zlib-1.3.1/include -I/gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/include/c++/aarch64-unknown-linux-gnu -I/gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/include/c++/backward -I/gnu/store/6ngp1bahsp96qmjnimvhf3hfi6abklsk-gcc-14.3.0-lib/lib/gcc/aarch64-unknown-linux-gnu/14.3.0/include -I/gnu/store/6ngp1bahsp96qmjnimvhf3hfi6abklsk-gcc-14.3.0-lib/lib/gcc/aarch64-unknown-linux-gnu/14.3.0/include-fixed --include /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/ecp5/gui/nextpnr-ecp5-gui_autogen/moc_predefs.h --output-dep-file -o /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/ecp5/gui/nextpnr-ecp5-gui_autogen/OOLTENM7GT/moc_mainwindow.cpp /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/ecp5/mainwindow.h AutoMoc: Reading dependencies from "BIN:/ice40/gui/nextpnr-ice40-gui_autogen/EWIEGA46WW/moc_designwidget.cpp.d" AutoMoc: Reading dependencies from "BIN:/generic/gui/nextpnr-generic-gui_autogen/EWIEGA46WW/moc_designwidget.cpp.d" AutoMoc: Generating "BIN:/ice40/gui/nextpnr-ice40-gui_autogen/EWIEGA46WW/moc_fpgaviewwidget.cpp", because it doesn't exist, from "SRC:/gui/fpgaviewwidget.h" /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/bin/moc -DARCHNAME=ice40 -DARCH_ICE40 -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DNO_RUST -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/ice40/gui -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui -I/gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/include/python3.11 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/ice40 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/frontend -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/json -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/QtPropertyBrowser/src -I/gnu/store/lkr00hf38xnc265pziwm68bpii3xdg8q-imgui-1.86/include/imgui -I/gnu/store/ny8visn9x0y1r3bfylrirfbjprg3nh15-qtimgui-0.0-0.48d64a7/include/qtimgui -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/python-console -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/python-console/modified -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/ice40 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/common -I/gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5 -I/gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtWidgets -I/gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtGui -I/gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtCore -I/gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/lib/qt5/mkspecs/linux-g++ -I/gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtOpenGL -I/gnu/store/f76qdd5i5aiyhii5vfg8inpcwgv81dyk-mesa-25.2.3/include -I/gnu/store/3702dz9kiacbldynjm02a61ni75p7hk6-pybind11-2.13.6/include -I/gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include -I/gnu/store/fjnqbp82xlk7grb1phi377ifvy2ag4lp-iverilog-12.0/include -I/gnu/store/8plnc3lpnfhyqyy4khcjzm7lhnvvnnw7-googletest-1.17.0/include -I/gnu/store/7ykml1rwb7dmgsic1nvcwf3f8sj4wg6g-bzip2-1.0.8/include -I/gnu/store/rnj26qklbw75sn0b74i802acshrijy66-file-5.46/include -I/gnu/store/5189xfjv3av3djx824k3vplzgam5yk1q-gawk-5.3.0/include -I/gnu/store/74kzd0881xh5b1b3cdq4pva26pywlpph-xz-5.4.5/include -I/gnu/store/mx9i9dnjx34lkx8k8r79876q2f5dclvr-make-4.4.1/include -I/gnu/store/x7x8y4c3ccn33sq7r9nb77y5d5mz3970-binutils-2.44/include -I/gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/include/c++ -I/gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/include -I/gnu/store/50jqiigxwa1s9xljqrl1wh85yncqnybr-glibc-2.41/include -I/gnu/store/4vxzslxx9fcvhcq0cqjr168shg8s1kwa-boost-1.83.0/include -I/gnu/store/r94kayv6y93mj1hgc13k11klha366dsw-eigen-3.4.0/include -I/gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/include -I/gnu/store/g34v9di9pw9d3p0z4gavygqp8197szky-qtwayland-5.15.17/include -I/gnu/store/ny8visn9x0y1r3bfylrirfbjprg3nh15-qtimgui-0.0-0.48d64a7/include -I/gnu/store/1zqziv4s5q2y8d3byhbzfz61hrpy4xrz-linux-libre-headers-6.12.17/include -I/gnu/store/lkr00hf38xnc265pziwm68bpii3xdg8q-imgui-1.86/include -I/gnu/store/52bc4k6kgp9cv4pinns4yfp5lagj8zqr-xorgproto-2024.1/include -I/gnu/store/9b6xbajrzba0jzvjpdw8sbnwk1iq6ja2-libxxf86vm-1.1.6/include -I/gnu/store/515l3riya9ffp834kd0fa0gn3xzqrdck-libxshmfence-1.3.3/include -I/gnu/store/mv71i3v40b2gqvqy1rfkc219cfihgwc0-libxfixes-6.0.1/include -I/gnu/store/4ab335v9nxqqfzvia87ccvgq33masz9a-libxdamage-1.1.6/include -I/gnu/store/vznnvmjy5q54gk0qvik9gi181li09wpf-libx11-1.8.12/include -I/gnu/store/dzbj2yv45vzrazlr6ywmzd828j4nx02p-libvdpau-1.5/include -I/gnu/store/56qrv5j1l2qfm1c4x0csidbprbq9ypcy-libdrm-2.4.124/include -I/gnu/store/1c41hrnadwb0a1af7n3skvj1kxznq29x-libxext-1.3.6/include -I/gnu/store/v60dfd1nbw4j0lk08ha0jfdmkin8xnpi-libxcb-1.17.0/include -I/gnu/store/v06qx4fqqy30fg4y3ls6p4c3g7wrmj89-libpciaccess-0.18.1/include -I/gnu/store/j461yp7q0sl48bbaj7f244aqycs3jwgl-libxdmcp-1.1.5/include -I/gnu/store/ywpvw7g27qcfr4clhdk2xxb5rijqfns3-libxau-1.0.12/include -I/gnu/store/rwwdavvfvn3d5nwwvmd4sf6bnw1qra88-zlib-1.3.1/include -I/gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/include/c++/aarch64-unknown-linux-gnu -I/gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/include/c++/backward -I/gnu/store/6ngp1bahsp96qmjnimvhf3hfi6abklsk-gcc-14.3.0-lib/lib/gcc/aarch64-unknown-linux-gnu/14.3.0/include -I/gnu/store/6ngp1bahsp96qmjnimvhf3hfi6abklsk-gcc-14.3.0-lib/lib/gcc/aarch64-unknown-linux-gnu/14.3.0/include-fixed --include /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/ice40/gui/nextpnr-ice40-gui_autogen/moc_predefs.h --output-dep-file -o /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/ice40/gui/nextpnr-ice40-gui_autogen/EWIEGA46WW/moc_fpgaviewwidget.cpp /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/fpgaviewwidget.h AutoMoc: Generating "BIN:/generic/gui/nextpnr-generic-gui_autogen/EWIEGA46WW/moc_fpgaviewwidget.cpp", because it doesn't exist, from "SRC:/gui/fpgaviewwidget.h" /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/bin/moc -DARCHNAME=generic -DARCH_GENERIC -DNEXTPNR_NAMESPACE=nextpnr_generic -DNO_RUST -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/generic/gui -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui -I/gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/include/python3.11 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/generic -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/frontend -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/json -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/QtPropertyBrowser/src -I/gnu/store/lkr00hf38xnc265pziwm68bpii3xdg8q-imgui-1.86/include/imgui -I/gnu/store/ny8visn9x0y1r3bfylrirfbjprg3nh15-qtimgui-0.0-0.48d64a7/include/qtimgui -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/python-console -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/python-console/modified -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/generic -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/common -I/gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5 -I/gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtWidgets -I/gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtGui -I/gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtCore -I/gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/lib/qt5/mkspecs/linux-g++ -I/gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtOpenGL -I/gnu/store/f76qdd5i5aiyhii5vfg8inpcwgv81dyk-mesa-25.2.3/include -I/gnu/store/3702dz9kiacbldynjm02a61ni75p7hk6-pybind11-2.13.6/include -I/gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include -I/gnu/store/fjnqbp82xlk7grb1phi377ifvy2ag4lp-iverilog-12.0/include -I/gnu/store/8plnc3lpnfhyqyy4khcjzm7lhnvvnnw7-googletest-1.17.0/include -I/gnu/store/7ykml1rwb7dmgsic1nvcwf3f8sj4wg6g-bzip2-1.0.8/include -I/gnu/store/rnj26qklbw75sn0b74i802acshrijy66-file-5.46/include -I/gnu/store/5189xfjv3av3djx824k3vplzgam5yk1q-gawk-5.3.0/include -I/gnu/store/74kzd0881xh5b1b3cdq4pva26pywlpph-xz-5.4.5/include -I/gnu/store/mx9i9dnjx34lkx8k8r79876q2f5dclvr-make-4.4.1/include -I/gnu/store/x7x8y4c3ccn33sq7r9nb77y5d5mz3970-binutils-2.44/include -I/gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/include/c++ -I/gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/include -I/gnu/store/50jqiigxwa1s9xljqrl1wh85yncqnybr-glibc-2.41/include -I/gnu/store/4vxzslxx9fcvhcq0cqjr168shg8s1kwa-boost-1.83.0/include -I/gnu/store/r94kayv6y93mj1hgc13k11klha366dsw-eigen-3.4.0/include -I/gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/include -I/gnu/store/g34v9di9pw9d3p0z4gavygqp8197szky-qtwayland-5.15.17/include -I/gnu/store/ny8visn9x0y1r3bfylrirfbjprg3nh15-qtimgui-0.0-0.48d64a7/include -I/gnu/store/1zqziv4s5q2y8d3byhbzfz61hrpy4xrz-linux-libre-headers-6.12.17/include -I/gnu/store/lkr00hf38xnc265pziwm68bpii3xdg8q-imgui-1.86/include -I/gnu/store/52bc4k6kgp9cv4pinns4yfp5lagj8zqr-xorgproto-2024.1/include -I/gnu/store/9b6xbajrzba0jzvjpdw8sbnwk1iq6ja2-libxxf86vm-1.1.6/include -I/gnu/store/515l3riya9ffp834kd0fa0gn3xzqrdck-libxshmfence-1.3.3/include -I/gnu/store/mv71i3v40b2gqvqy1rfkc219cfihgwc0-libxfixes-6.0.1/include -I/gnu/store/4ab335v9nxqqfzvia87ccvgq33masz9a-libxdamage-1.1.6/include -I/gnu/store/vznnvmjy5q54gk0qvik9gi181li09wpf-libx11-1.8.12/include -I/gnu/store/dzbj2yv45vzrazlr6ywmzd828j4nx02p-libvdpau-1.5/include -I/gnu/store/56qrv5j1l2qfm1c4x0csidbprbq9ypcy-libdrm-2.4.124/include -I/gnu/store/1c41hrnadwb0a1af7n3skvj1kxznq29x-libxext-1.3.6/include -I/gnu/store/v60dfd1nbw4j0lk08ha0jfdmkin8xnpi-libxcb-1.17.0/include -I/gnu/store/v06qx4fqqy30fg4y3ls6p4c3g7wrmj89-libpciaccess-0.18.1/include -I/gnu/store/j461yp7q0sl48bbaj7f244aqycs3jwgl-libxdmcp-1.1.5/include -I/gnu/store/ywpvw7g27qcfr4clhdk2xxb5rijqfns3-libxau-1.0.12/include -I/gnu/store/rwwdavvfvn3d5nwwvmd4sf6bnw1qra88-zlib-1.3.1/include -I/gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/include/c++/aarch64-unknown-linux-gnu -I/gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/include/c++/backward -I/gnu/store/6ngp1bahsp96qmjnimvhf3hfi6abklsk-gcc-14.3.0-lib/lib/gcc/aarch64-unknown-linux-gnu/14.3.0/include -I/gnu/store/6ngp1bahsp96qmjnimvhf3hfi6abklsk-gcc-14.3.0-lib/lib/gcc/aarch64-unknown-linux-gnu/14.3.0/include-fixed --include /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/generic/gui/nextpnr-generic-gui_autogen/moc_predefs.h --output-dep-file -o /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/generic/gui/nextpnr-generic-gui_autogen/EWIEGA46WW/moc_fpgaviewwidget.cpp /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/fpgaviewwidget.h AutoMoc: Reading dependencies from "BIN:/himbaechel/uarch/gowin/gui/nextpnr-himbaechel-gowin-gui_autogen/EWIEGA46WW/moc_designwidget.cpp.d" AutoMoc: Reading dependencies from "BIN:/himbaechel/uarch/ng-ultra/gui/nextpnr-himbaechel-ng-ultra-gui_autogen/EWIEGA46WW/moc_designwidget.cpp.d" AutoMoc: Reading dependencies from "BIN:/himbaechel/uarch/gatemate/gui/nextpnr-himbaechel-gatemate-gui_autogen/EWIEGA46WW/moc_designwidget.cpp.d" AutoMoc: Generating "BIN:/himbaechel/uarch/gowin/gui/nextpnr-himbaechel-gowin-gui_autogen/EWIEGA46WW/moc_fpgaviewwidget.cpp", because it doesn't exist, from "SRC:/gui/fpgaviewwidget.h" /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/bin/moc -DARCHNAME=himbaechel -DARCH_HIMBAECHEL -DNEXTPNR_NAMESPACE=nextpnr_himbaechel -DNO_RUST -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/himbaechel/uarch/gowin/gui -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui -I/gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/include/python3.11 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/himbaechel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/frontend -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/json -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/QtPropertyBrowser/src -I/gnu/store/lkr00hf38xnc265pziwm68bpii3xdg8q-imgui-1.86/include/imgui -I/gnu/store/ny8visn9x0y1r3bfylrirfbjprg3nh15-qtimgui-0.0-0.48d64a7/include/qtimgui -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/python-console -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/python-console/modified -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/himbaechel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/common -I/gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5 -I/gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtWidgets -I/gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtGui -I/gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtCore -I/gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/lib/qt5/mkspecs/linux-g++ -I/gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtOpenGL -I/gnu/store/f76qdd5i5aiyhii5vfg8inpcwgv81dyk-mesa-25.2.3/include -I/gnu/store/3702dz9kiacbldynjm02a61ni75p7hk6-pybind11-2.13.6/include -I/gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include -I/gnu/store/fjnqbp82xlk7grb1phi377ifvy2ag4lp-iverilog-12.0/include -I/gnu/store/8plnc3lpnfhyqyy4khcjzm7lhnvvnnw7-googletest-1.17.0/include -I/gnu/store/7ykml1rwb7dmgsic1nvcwf3f8sj4wg6g-bzip2-1.0.8/include -I/gnu/store/rnj26qklbw75sn0b74i802acshrijy66-file-5.46/include -I/gnu/store/5189xfjv3av3djx824k3vplzgam5yk1q-gawk-5.3.0/include -I/gnu/store/74kzd0881xh5b1b3cdq4pva26pywlpph-xz-5.4.5/include -I/gnu/store/mx9i9dnjx34lkx8k8r79876q2f5dclvr-make-4.4.1/include -I/gnu/store/x7x8y4c3ccn33sq7r9nb77y5d5mz3970-binutils-2.44/include -I/gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/include/c++ -I/gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/include -I/gnu/store/50jqiigxwa1s9xljqrl1wh85yncqnybr-glibc-2.41/include -I/gnu/store/4vxzslxx9fcvhcq0cqjr168shg8s1kwa-boost-1.83.0/include -I/gnu/store/r94kayv6y93mj1hgc13k11klha366dsw-eigen-3.4.0/include -I/gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/include -I/gnu/store/g34v9di9pw9d3p0z4gavygqp8197szky-qtwayland-5.15.17/include -I/gnu/store/ny8visn9x0y1r3bfylrirfbjprg3nh15-qtimgui-0.0-0.48d64a7/include -I/gnu/store/1zqziv4s5q2y8d3byhbzfz61hrpy4xrz-linux-libre-headers-6.12.17/include -I/gnu/store/lkr00hf38xnc265pziwm68bpii3xdg8q-imgui-1.86/include -I/gnu/store/52bc4k6kgp9cv4pinns4yfp5lagj8zqr-xorgproto-2024.1/include -I/gnu/store/9b6xbajrzba0jzvjpdw8sbnwk1iq6ja2-libxxf86vm-1.1.6/include -I/gnu/store/515l3riya9ffp834kd0fa0gn3xzqrdck-libxshmfence-1.3.3/include -I/gnu/store/mv71i3v40b2gqvqy1rfkc219cfihgwc0-libxfixes-6.0.1/include -I/gnu/store/4ab335v9nxqqfzvia87ccvgq33masz9a-libxdamage-1.1.6/include -I/gnu/store/vznnvmjy5q54gk0qvik9gi181li09wpf-libx11-1.8.12/include -I/gnu/store/dzbj2yv45vzrazlr6ywmzd828j4nx02p-libvdpau-1.5/include -I/gnu/store/56qrv5j1l2qfm1c4x0csidbprbq9ypcy-libdrm-2.4.124/include -I/gnu/store/1c41hrnadwb0a1af7n3skvj1kxznq29x-libxext-1.3.6/include -I/gnu/store/v60dfd1nbw4j0lk08ha0jfdmkin8xnpi-libxcb-1.17.0/include -I/gnu/store/v06qx4fqqy30fg4y3ls6p4c3g7wrmj89-libpciaccess-0.18.1/include -I/gnu/store/j461yp7q0sl48bbaj7f244aqycs3jwgl-libxdmcp-1.1.5/include -I/gnu/store/ywpvw7g27qcfr4clhdk2xxb5rijqfns3-libxau-1.0.12/include -I/gnu/store/rwwdavvfvn3d5nwwvmd4sf6bnw1qra88-zlib-1.3.1/include -I/gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/include/c++/aarch64-unknown-linux-gnu -I/gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/include/c++/backward -I/gnu/store/6ngp1bahsp96qmjnimvhf3hfi6abklsk-gcc-14.3.0-lib/lib/gcc/aarch64-unknown-linux-gnu/14.3.0/include -I/gnu/store/6ngp1bahsp96qmjnimvhf3hfi6abklsk-gcc-14.3.0-lib/lib/gcc/aarch64-unknown-linux-gnu/14.3.0/include-fixed --include /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/himbaechel/uarch/gowin/gui/nextpnr-himbaechel-gowin-gui_autogen/moc_predefs.h --output-dep-file -o /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/himbaechel/uarch/gowin/gui/nextpnr-himbaechel-gowin-gui_autogen/EWIEGA46WW/moc_fpgaviewwidget.cpp /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/fpgaviewwidget.h AutoMoc: Generating "BIN:/himbaechel/uarch/ng-ultra/gui/nextpnr-himbaechel-ng-ultra-gui_autogen/EWIEGA46WW/moc_fpgaviewwidget.cpp", because it doesn't exist, from "SRC:/gui/fpgaviewwidget.h" /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/bin/moc -DARCHNAME=himbaechel -DARCH_HIMBAECHEL -DNEXTPNR_NAMESPACE=nextpnr_himbaechel -DNO_RUST -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/himbaechel/uarch/ng-ultra/gui -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui -I/gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/include/python3.11 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/himbaechel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/frontend -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/json -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/QtPropertyBrowser/src -I/gnu/store/lkr00hf38xnc265pziwm68bpii3xdg8q-imgui-1.86/include/imgui -I/gnu/store/ny8visn9x0y1r3bfylrirfbjprg3nh15-qtimgui-0.0-0.48d64a7/include/qtimgui -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/python-console -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/python-console/modified -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/himbaechel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/common -I/gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5 -I/gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtWidgets -I/gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtGui -I/gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtCore -I/gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/lib/qt5/mkspecs/linux-g++ -I/gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtOpenGL -I/gnu/store/f76qdd5i5aiyhii5vfg8inpcwgv81dyk-mesa-25.2.3/include -I/gnu/store/3702dz9kiacbldynjm02a61ni75p7hk6-pybind11-2.13.6/include -I/gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include -I/gnu/store/fjnqbp82xlk7grb1phi377ifvy2ag4lp-iverilog-12.0/include -I/gnu/store/8plnc3lpnfhyqyy4khcjzm7lhnvvnnw7-googletest-1.17.0/include -I/gnu/store/7ykml1rwb7dmgsic1nvcwf3f8sj4wg6g-bzip2-1.0.8/include -I/gnu/store/rnj26qklbw75sn0b74i802acshrijy66-file-5.46/include -I/gnu/store/5189xfjv3av3djx824k3vplzgam5yk1q-gawk-5.3.0/include -I/gnu/store/74kzd0881xh5b1b3cdq4pva26pywlpph-xz-5.4.5/include -I/gnu/store/mx9i9dnjx34lkx8k8r79876q2f5dclvr-make-4.4.1/include -I/gnu/store/x7x8y4c3ccn33sq7r9nb77y5d5mz3970-binutils-2.44/include -I/gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/include/c++ -I/gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/include -I/gnu/store/50jqiigxwa1s9xljqrl1wh85yncqnybr-glibc-2.41/include -I/gnu/store/4vxzslxx9fcvhcq0cqjr168shg8s1kwa-boost-1.83.0/include -I/gnu/store/r94kayv6y93mj1hgc13k11klha366dsw-eigen-3.4.0/include -I/gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/include -I/gnu/store/g34v9di9pw9d3p0z4gavygqp8197szky-qtwayland-5.15.17/include -I/gnu/store/ny8visn9x0y1r3bfylrirfbjprg3nh15-qtimgui-0.0-0.48d64a7/include -I/gnu/store/1zqziv4s5q2y8d3byhbzfz61hrpy4xrz-linux-libre-headers-6.12.17/include -I/gnu/store/lkr00hf38xnc265pziwm68bpii3xdg8q-imgui-1.86/include -I/gnu/store/52bc4k6kgp9cv4pinns4yfp5lagj8zqr-xorgproto-2024.1/include -I/gnu/store/9b6xbajrzba0jzvjpdw8sbnwk1iq6ja2-libxxf86vm-1.1.6/include -I/gnu/store/515l3riya9ffp834kd0fa0gn3xzqrdck-libxshmfence-1.3.3/include -I/gnu/store/mv71i3v40b2gqvqy1rfkc219cfihgwc0-libxfixes-6.0.1/include -I/gnu/store/4ab335v9nxqqfzvia87ccvgq33masz9a-libxdamage-1.1.6/include -I/gnu/store/vznnvmjy5q54gk0qvik9gi181li09wpf-libx11-1.8.12/include -I/gnu/store/dzbj2yv45vzrazlr6ywmzd828j4nx02p-libvdpau-1.5/include -I/gnu/store/56qrv5j1l2qfm1c4x0csidbprbq9ypcy-libdrm-2.4.124/include -I/gnu/store/1c41hrnadwb0a1af7n3skvj1kxznq29x-libxext-1.3.6/include -I/gnu/store/v60dfd1nbw4j0lk08ha0jfdmkin8xnpi-libxcb-1.17.0/include -I/gnu/store/v06qx4fqqy30fg4y3ls6p4c3g7wrmj89-libpciaccess-0.18.1/include -I/gnu/store/j461yp7q0sl48bbaj7f244aqycs3jwgl-libxdmcp-1.1.5/include -I/gnu/store/ywpvw7g27qcfr4clhdk2xxb5rijqfns3-libxau-1.0.12/include -I/gnu/store/rwwdavvfvn3d5nwwvmd4sf6bnw1qra88-zlib-1.3.1/include -I/gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/include/c++/aarch64-unknown-linux-gnu -I/gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/include/c++/backward -I/gnu/store/6ngp1bahsp96qmjnimvhf3hfi6abklsk-gcc-14.3.0-lib/lib/gcc/aarch64-unknown-linux-gnu/14.3.0/include -I/gnu/store/6ngp1bahsp96qmjnimvhf3hfi6abklsk-gcc-14.3.0-lib/lib/gcc/aarch64-unknown-linux-gnu/14.3.0/include-fixed --include /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/himbaechel/uarch/ng-ultra/gui/nextpnr-himbaechel-ng-ultra-gui_autogen/moc_predefs.h --output-dep-file -o /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/himbaechel/uarch/ng-ultra/gui/nextpnr-himbaechel-ng-ultra-gui_autogen/EWIEGA46WW/moc_fpgaviewwidget.cpp /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/fpgaviewwidget.h AutoMoc: Generating "BIN:/himbaechel/uarch/gatemate/gui/nextpnr-himbaechel-gatemate-gui_autogen/EWIEGA46WW/moc_fpgaviewwidget.cpp", because it doesn't exist, from "SRC:/gui/fpgaviewwidget.h" /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/bin/moc -DARCHNAME=himbaechel -DARCH_HIMBAECHEL -DNEXTPNR_NAMESPACE=nextpnr_himbaechel -DNO_RUST -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/himbaechel/uarch/gatemate/gui -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui -I/gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/include/python3.11 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/himbaechel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/frontend -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/json -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/QtPropertyBrowser/src -I/gnu/store/lkr00hf38xnc265pziwm68bpii3xdg8q-imgui-1.86/include/imgui -I/gnu/store/ny8visn9x0y1r3bfylrirfbjprg3nh15-qtimgui-0.0-0.48d64a7/include/qtimgui -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/python-console -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/python-console/modified -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/himbaechel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/common -I/gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5 -I/gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtWidgets -I/gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtGui -I/gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtCore -I/gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/lib/qt5/mkspecs/linux-g++ -I/gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtOpenGL -I/gnu/store/f76qdd5i5aiyhii5vfg8inpcwgv81dyk-mesa-25.2.3/include -I/gnu/store/3702dz9kiacbldynjm02a61ni75p7hk6-pybind11-2.13.6/include -I/gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include -I/gnu/store/fjnqbp82xlk7grb1phi377ifvy2ag4lp-iverilog-12.0/include -I/gnu/store/8plnc3lpnfhyqyy4khcjzm7lhnvvnnw7-googletest-1.17.0/include -I/gnu/store/7ykml1rwb7dmgsic1nvcwf3f8sj4wg6g-bzip2-1.0.8/include -I/gnu/store/rnj26qklbw75sn0b74i802acshrijy66-file-5.46/include -I/gnu/store/5189xfjv3av3djx824k3vplzgam5yk1q-gawk-5.3.0/include -I/gnu/store/74kzd0881xh5b1b3cdq4pva26pywlpph-xz-5.4.5/include -I/gnu/store/mx9i9dnjx34lkx8k8r79876q2f5dclvr-make-4.4.1/include -I/gnu/store/x7x8y4c3ccn33sq7r9nb77y5d5mz3970-binutils-2.44/include -I/gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/include/c++ -I/gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/include -I/gnu/store/50jqiigxwa1s9xljqrl1wh85yncqnybr-glibc-2.41/include -I/gnu/store/4vxzslxx9fcvhcq0cqjr168shg8s1kwa-boost-1.83.0/include -I/gnu/store/r94kayv6y93mj1hgc13k11klha366dsw-eigen-3.4.0/include -I/gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/include -I/gnu/store/g34v9di9pw9d3p0z4gavygqp8197szky-qtwayland-5.15.17/include -I/gnu/store/ny8visn9x0y1r3bfylrirfbjprg3nh15-qtimgui-0.0-0.48d64a7/include -I/gnu/store/1zqziv4s5q2y8d3byhbzfz61hrpy4xrz-linux-libre-headers-6.12.17/include -I/gnu/store/lkr00hf38xnc265pziwm68bpii3xdg8q-imgui-1.86/include -I/gnu/store/52bc4k6kgp9cv4pinns4yfp5lagj8zqr-xorgproto-2024.1/include -I/gnu/store/9b6xbajrzba0jzvjpdw8sbnwk1iq6ja2-libxxf86vm-1.1.6/include -I/gnu/store/515l3riya9ffp834kd0fa0gn3xzqrdck-libxshmfence-1.3.3/include -I/gnu/store/mv71i3v40b2gqvqy1rfkc219cfihgwc0-libxfixes-6.0.1/include -I/gnu/store/4ab335v9nxqqfzvia87ccvgq33masz9a-libxdamage-1.1.6/include -I/gnu/store/vznnvmjy5q54gk0qvik9gi181li09wpf-libx11-1.8.12/include -I/gnu/store/dzbj2yv45vzrazlr6ywmzd828j4nx02p-libvdpau-1.5/include -I/gnu/store/56qrv5j1l2qfm1c4x0csidbprbq9ypcy-libdrm-2.4.124/include -I/gnu/store/1c41hrnadwb0a1af7n3skvj1kxznq29x-libxext-1.3.6/include -I/gnu/store/v60dfd1nbw4j0lk08ha0jfdmkin8xnpi-libxcb-1.17.0/include -I/gnu/store/v06qx4fqqy30fg4y3ls6p4c3g7wrmj89-libpciaccess-0.18.1/include -I/gnu/store/j461yp7q0sl48bbaj7f244aqycs3jwgl-libxdmcp-1.1.5/include -I/gnu/store/ywpvw7g27qcfr4clhdk2xxb5rijqfns3-libxau-1.0.12/include -I/gnu/store/rwwdavvfvn3d5nwwvmd4sf6bnw1qra88-zlib-1.3.1/include -I/gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/include/c++/aarch64-unknown-linux-gnu -I/gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/include/c++/backward -I/gnu/store/6ngp1bahsp96qmjnimvhf3hfi6abklsk-gcc-14.3.0-lib/lib/gcc/aarch64-unknown-linux-gnu/14.3.0/include -I/gnu/store/6ngp1bahsp96qmjnimvhf3hfi6abklsk-gcc-14.3.0-lib/lib/gcc/aarch64-unknown-linux-gnu/14.3.0/include-fixed --include /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/himbaechel/uarch/gatemate/gui/nextpnr-himbaechel-gatemate-gui_autogen/moc_predefs.h --output-dep-file -o /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/himbaechel/uarch/gatemate/gui/nextpnr-himbaechel-gatemate-gui_autogen/EWIEGA46WW/moc_fpgaviewwidget.cpp /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/fpgaviewwidget.h AutoMoc: Reading dependencies from "BIN:/ecp5/gui/nextpnr-ecp5-gui_autogen/OOLTENM7GT/moc_mainwindow.cpp.d" AutoMoc: Generating "BIN:/ecp5/gui/nextpnr-ecp5-gui_autogen/EWIEGA46WW/moc_fpgaviewwidget.cpp", because it doesn't exist, from "SRC:/gui/fpgaviewwidget.h" /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/bin/moc -DARCHNAME=ecp5 -DARCH_ECP5 -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DNO_RUST -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/ecp5/gui -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui -I/gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/include/python3.11 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/ecp5 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/frontend -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/json -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/QtPropertyBrowser/src -I/gnu/store/lkr00hf38xnc265pziwm68bpii3xdg8q-imgui-1.86/include/imgui -I/gnu/store/ny8visn9x0y1r3bfylrirfbjprg3nh15-qtimgui-0.0-0.48d64a7/include/qtimgui -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/python-console -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/python-console/modified -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/ecp5 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/common -I/gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5 -I/gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtWidgets -I/gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtGui -I/gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtCore -I/gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/lib/qt5/mkspecs/linux-g++ -I/gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtOpenGL -I/gnu/store/f76qdd5i5aiyhii5vfg8inpcwgv81dyk-mesa-25.2.3/include -I/gnu/store/3702dz9kiacbldynjm02a61ni75p7hk6-pybind11-2.13.6/include -I/gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include -I/gnu/store/fjnqbp82xlk7grb1phi377ifvy2ag4lp-iverilog-12.0/include -I/gnu/store/8plnc3lpnfhyqyy4khcjzm7lhnvvnnw7-googletest-1.17.0/include -I/gnu/store/7ykml1rwb7dmgsic1nvcwf3f8sj4wg6g-bzip2-1.0.8/include -I/gnu/store/rnj26qklbw75sn0b74i802acshrijy66-file-5.46/include -I/gnu/store/5189xfjv3av3djx824k3vplzgam5yk1q-gawk-5.3.0/include -I/gnu/store/74kzd0881xh5b1b3cdq4pva26pywlpph-xz-5.4.5/include -I/gnu/store/mx9i9dnjx34lkx8k8r79876q2f5dclvr-make-4.4.1/include -I/gnu/store/x7x8y4c3ccn33sq7r9nb77y5d5mz3970-binutils-2.44/include -I/gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/include/c++ -I/gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/include -I/gnu/store/50jqiigxwa1s9xljqrl1wh85yncqnybr-glibc-2.41/include -I/gnu/store/4vxzslxx9fcvhcq0cqjr168shg8s1kwa-boost-1.83.0/include -I/gnu/store/r94kayv6y93mj1hgc13k11klha366dsw-eigen-3.4.0/include -I/gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/include -I/gnu/store/g34v9di9pw9d3p0z4gavygqp8197szky-qtwayland-5.15.17/include -I/gnu/store/ny8visn9x0y1r3bfylrirfbjprg3nh15-qtimgui-0.0-0.48d64a7/include -I/gnu/store/1zqziv4s5q2y8d3byhbzfz61hrpy4xrz-linux-libre-headers-6.12.17/include -I/gnu/store/lkr00hf38xnc265pziwm68bpii3xdg8q-imgui-1.86/include -I/gnu/store/52bc4k6kgp9cv4pinns4yfp5lagj8zqr-xorgproto-2024.1/include -I/gnu/store/9b6xbajrzba0jzvjpdw8sbnwk1iq6ja2-libxxf86vm-1.1.6/include -I/gnu/store/515l3riya9ffp834kd0fa0gn3xzqrdck-libxshmfence-1.3.3/include -I/gnu/store/mv71i3v40b2gqvqy1rfkc219cfihgwc0-libxfixes-6.0.1/include -I/gnu/store/4ab335v9nxqqfzvia87ccvgq33masz9a-libxdamage-1.1.6/include -I/gnu/store/vznnvmjy5q54gk0qvik9gi181li09wpf-libx11-1.8.12/include -I/gnu/store/dzbj2yv45vzrazlr6ywmzd828j4nx02p-libvdpau-1.5/include -I/gnu/store/56qrv5j1l2qfm1c4x0csidbprbq9ypcy-libdrm-2.4.124/include -I/gnu/store/1c41hrnadwb0a1af7n3skvj1kxznq29x-libxext-1.3.6/include -I/gnu/store/v60dfd1nbw4j0lk08ha0jfdmkin8xnpi-libxcb-1.17.0/include -I/gnu/store/v06qx4fqqy30fg4y3ls6p4c3g7wrmj89-libpciaccess-0.18.1/include -I/gnu/store/j461yp7q0sl48bbaj7f244aqycs3jwgl-libxdmcp-1.1.5/include -I/gnu/store/ywpvw7g27qcfr4clhdk2xxb5rijqfns3-libxau-1.0.12/include -I/gnu/store/rwwdavvfvn3d5nwwvmd4sf6bnw1qra88-zlib-1.3.1/include -I/gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/include/c++/aarch64-unknown-linux-gnu -I/gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/include/c++/backward -I/gnu/store/6ngp1bahsp96qmjnimvhf3hfi6abklsk-gcc-14.3.0-lib/lib/gcc/aarch64-unknown-linux-gnu/14.3.0/include -I/gnu/store/6ngp1bahsp96qmjnimvhf3hfi6abklsk-gcc-14.3.0-lib/lib/gcc/aarch64-unknown-linux-gnu/14.3.0/include-fixed --include /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/ecp5/gui/nextpnr-ecp5-gui_autogen/moc_predefs.h --output-dep-file -o /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/ecp5/gui/nextpnr-ecp5-gui_autogen/EWIEGA46WW/moc_fpgaviewwidget.cpp /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/fpgaviewwidget.h AutoMoc: Reading dependencies from "BIN:/ice40/gui/nextpnr-ice40-gui_autogen/EWIEGA46WW/moc_fpgaviewwidget.cpp.d" AutoMoc: Reading dependencies from "BIN:/generic/gui/nextpnr-generic-gui_autogen/EWIEGA46WW/moc_fpgaviewwidget.cpp.d" AutoMoc: Generating "BIN:/ice40/gui/nextpnr-ice40-gui_autogen/7FXS3DRWOX/moc_mainwindow.cpp", because it doesn't exist, from "SRC:/gui/ice40/mainwindow.h" /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/bin/moc -DARCHNAME=ice40 -DARCH_ICE40 -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DNO_RUST -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/ice40/gui -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui -I/gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/include/python3.11 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/ice40 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/frontend -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/json -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/QtPropertyBrowser/src -I/gnu/store/lkr00hf38xnc265pziwm68bpii3xdg8q-imgui-1.86/include/imgui -I/gnu/store/ny8visn9x0y1r3bfylrirfbjprg3nh15-qtimgui-0.0-0.48d64a7/include/qtimgui -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/python-console -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/python-console/modified -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/ice40 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/common -I/gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5 -I/gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtWidgets -I/gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtGui -I/gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtCore -I/gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/lib/qt5/mkspecs/linux-g++ -I/gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtOpenGL -I/gnu/store/f76qdd5i5aiyhii5vfg8inpcwgv81dyk-mesa-25.2.3/include -I/gnu/store/3702dz9kiacbldynjm02a61ni75p7hk6-pybind11-2.13.6/include -I/gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include -I/gnu/store/fjnqbp82xlk7grb1phi377ifvy2ag4lp-iverilog-12.0/include -I/gnu/store/8plnc3lpnfhyqyy4khcjzm7lhnvvnnw7-googletest-1.17.0/include -I/gnu/store/7ykml1rwb7dmgsic1nvcwf3f8sj4wg6g-bzip2-1.0.8/include -I/gnu/store/rnj26qklbw75sn0b74i802acshrijy66-file-5.46/include -I/gnu/store/5189xfjv3av3djx824k3vplzgam5yk1q-gawk-5.3.0/include -I/gnu/store/74kzd0881xh5b1b3cdq4pva26pywlpph-xz-5.4.5/include -I/gnu/store/mx9i9dnjx34lkx8k8r79876q2f5dclvr-make-4.4.1/include -I/gnu/store/x7x8y4c3ccn33sq7r9nb77y5d5mz3970-binutils-2.44/include -I/gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/include/c++ -I/gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/include -I/gnu/store/50jqiigxwa1s9xljqrl1wh85yncqnybr-glibc-2.41/include -I/gnu/store/4vxzslxx9fcvhcq0cqjr168shg8s1kwa-boost-1.83.0/include -I/gnu/store/r94kayv6y93mj1hgc13k11klha366dsw-eigen-3.4.0/include -I/gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/include -I/gnu/store/g34v9di9pw9d3p0z4gavygqp8197szky-qtwayland-5.15.17/include -I/gnu/store/ny8visn9x0y1r3bfylrirfbjprg3nh15-qtimgui-0.0-0.48d64a7/include -I/gnu/store/1zqziv4s5q2y8d3byhbzfz61hrpy4xrz-linux-libre-headers-6.12.17/include -I/gnu/store/lkr00hf38xnc265pziwm68bpii3xdg8q-imgui-1.86/include -I/gnu/store/52bc4k6kgp9cv4pinns4yfp5lagj8zqr-xorgproto-2024.1/include -I/gnu/store/9b6xbajrzba0jzvjpdw8sbnwk1iq6ja2-libxxf86vm-1.1.6/include -I/gnu/store/515l3riya9ffp834kd0fa0gn3xzqrdck-libxshmfence-1.3.3/include -I/gnu/store/mv71i3v40b2gqvqy1rfkc219cfihgwc0-libxfixes-6.0.1/include -I/gnu/store/4ab335v9nxqqfzvia87ccvgq33masz9a-libxdamage-1.1.6/include -I/gnu/store/vznnvmjy5q54gk0qvik9gi181li09wpf-libx11-1.8.12/include -I/gnu/store/dzbj2yv45vzrazlr6ywmzd828j4nx02p-libvdpau-1.5/include -I/gnu/store/56qrv5j1l2qfm1c4x0csidbprbq9ypcy-libdrm-2.4.124/include -I/gnu/store/1c41hrnadwb0a1af7n3skvj1kxznq29x-libxext-1.3.6/include -I/gnu/store/v60dfd1nbw4j0lk08ha0jfdmkin8xnpi-libxcb-1.17.0/include -I/gnu/store/v06qx4fqqy30fg4y3ls6p4c3g7wrmj89-libpciaccess-0.18.1/include -I/gnu/store/j461yp7q0sl48bbaj7f244aqycs3jwgl-libxdmcp-1.1.5/include -I/gnu/store/ywpvw7g27qcfr4clhdk2xxb5rijqfns3-libxau-1.0.12/include -I/gnu/store/rwwdavvfvn3d5nwwvmd4sf6bnw1qra88-zlib-1.3.1/include -I/gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/include/c++/aarch64-unknown-linux-gnu -I/gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/include/c++/backward -I/gnu/store/6ngp1bahsp96qmjnimvhf3hfi6abklsk-gcc-14.3.0-lib/lib/gcc/aarch64-unknown-linux-gnu/14.3.0/include -I/gnu/store/6ngp1bahsp96qmjnimvhf3hfi6abklsk-gcc-14.3.0-lib/lib/gcc/aarch64-unknown-linux-gnu/14.3.0/include-fixed --include /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/ice40/gui/nextpnr-ice40-gui_autogen/moc_predefs.h --output-dep-file -o /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/ice40/gui/nextpnr-ice40-gui_autogen/7FXS3DRWOX/moc_mainwindow.cpp /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/ice40/mainwindow.h AutoMoc: Generating "BIN:/generic/gui/nextpnr-generic-gui_autogen/YGZ6VZXXHR/moc_mainwindow.cpp", because it doesn't exist, from "SRC:/gui/generic/mainwindow.h" /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/bin/moc -DARCHNAME=generic -DARCH_GENERIC -DNEXTPNR_NAMESPACE=nextpnr_generic -DNO_RUST -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/generic/gui -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui -I/gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/include/python3.11 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/generic -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/frontend -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/json -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/QtPropertyBrowser/src -I/gnu/store/lkr00hf38xnc265pziwm68bpii3xdg8q-imgui-1.86/include/imgui -I/gnu/store/ny8visn9x0y1r3bfylrirfbjprg3nh15-qtimgui-0.0-0.48d64a7/include/qtimgui -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/python-console -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/python-console/modified -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/generic -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/common -I/gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5 -I/gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtWidgets -I/gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtGui -I/gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtCore -I/gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/lib/qt5/mkspecs/linux-g++ -I/gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtOpenGL -I/gnu/store/f76qdd5i5aiyhii5vfg8inpcwgv81dyk-mesa-25.2.3/include -I/gnu/store/3702dz9kiacbldynjm02a61ni75p7hk6-pybind11-2.13.6/include -I/gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include -I/gnu/store/fjnqbp82xlk7grb1phi377ifvy2ag4lp-iverilog-12.0/include -I/gnu/store/8plnc3lpnfhyqyy4khcjzm7lhnvvnnw7-googletest-1.17.0/include -I/gnu/store/7ykml1rwb7dmgsic1nvcwf3f8sj4wg6g-bzip2-1.0.8/include -I/gnu/store/rnj26qklbw75sn0b74i802acshrijy66-file-5.46/include -I/gnu/store/5189xfjv3av3djx824k3vplzgam5yk1q-gawk-5.3.0/include -I/gnu/store/74kzd0881xh5b1b3cdq4pva26pywlpph-xz-5.4.5/include -I/gnu/store/mx9i9dnjx34lkx8k8r79876q2f5dclvr-make-4.4.1/include -I/gnu/store/x7x8y4c3ccn33sq7r9nb77y5d5mz3970-binutils-2.44/include -I/gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/include/c++ -I/gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/include -I/gnu/store/50jqiigxwa1s9xljqrl1wh85yncqnybr-glibc-2.41/include -I/gnu/store/4vxzslxx9fcvhcq0cqjr168shg8s1kwa-boost-1.83.0/include -I/gnu/store/r94kayv6y93mj1hgc13k11klha366dsw-eigen-3.4.0/include -I/gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/include -I/gnu/store/g34v9di9pw9d3p0z4gavygqp8197szky-qtwayland-5.15.17/include -I/gnu/store/ny8visn9x0y1r3bfylrirfbjprg3nh15-qtimgui-0.0-0.48d64a7/include -I/gnu/store/1zqziv4s5q2y8d3byhbzfz61hrpy4xrz-linux-libre-headers-6.12.17/include -I/gnu/store/lkr00hf38xnc265pziwm68bpii3xdg8q-imgui-1.86/include -I/gnu/store/52bc4k6kgp9cv4pinns4yfp5lagj8zqr-xorgproto-2024.1/include -I/gnu/store/9b6xbajrzba0jzvjpdw8sbnwk1iq6ja2-libxxf86vm-1.1.6/include -I/gnu/store/515l3riya9ffp834kd0fa0gn3xzqrdck-libxshmfence-1.3.3/include -I/gnu/store/mv71i3v40b2gqvqy1rfkc219cfihgwc0-libxfixes-6.0.1/include -I/gnu/store/4ab335v9nxqqfzvia87ccvgq33masz9a-libxdamage-1.1.6/include -I/gnu/store/vznnvmjy5q54gk0qvik9gi181li09wpf-libx11-1.8.12/include -I/gnu/store/dzbj2yv45vzrazlr6ywmzd828j4nx02p-libvdpau-1.5/include -I/gnu/store/56qrv5j1l2qfm1c4x0csidbprbq9ypcy-libdrm-2.4.124/include -I/gnu/store/1c41hrnadwb0a1af7n3skvj1kxznq29x-libxext-1.3.6/include -I/gnu/store/v60dfd1nbw4j0lk08ha0jfdmkin8xnpi-libxcb-1.17.0/include -I/gnu/store/v06qx4fqqy30fg4y3ls6p4c3g7wrmj89-libpciaccess-0.18.1/include -I/gnu/store/j461yp7q0sl48bbaj7f244aqycs3jwgl-libxdmcp-1.1.5/include -I/gnu/store/ywpvw7g27qcfr4clhdk2xxb5rijqfns3-libxau-1.0.12/include -I/gnu/store/rwwdavvfvn3d5nwwvmd4sf6bnw1qra88-zlib-1.3.1/include -I/gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/include/c++/aarch64-unknown-linux-gnu -I/gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/include/c++/backward -I/gnu/store/6ngp1bahsp96qmjnimvhf3hfi6abklsk-gcc-14.3.0-lib/lib/gcc/aarch64-unknown-linux-gnu/14.3.0/include -I/gnu/store/6ngp1bahsp96qmjnimvhf3hfi6abklsk-gcc-14.3.0-lib/lib/gcc/aarch64-unknown-linux-gnu/14.3.0/include-fixed --include /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/generic/gui/nextpnr-generic-gui_autogen/moc_predefs.h --output-dep-file -o /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/generic/gui/nextpnr-generic-gui_autogen/YGZ6VZXXHR/moc_mainwindow.cpp /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/generic/mainwindow.h AutoMoc: Reading dependencies from "BIN:/himbaechel/uarch/gowin/gui/nextpnr-himbaechel-gowin-gui_autogen/EWIEGA46WW/moc_fpgaviewwidget.cpp.d" AutoMoc: Reading dependencies from "BIN:/himbaechel/uarch/ng-ultra/gui/nextpnr-himbaechel-ng-ultra-gui_autogen/EWIEGA46WW/moc_fpgaviewwidget.cpp.d" AutoMoc: Generating "BIN:/himbaechel/uarch/gowin/gui/nextpnr-himbaechel-gowin-gui_autogen/OOL7O2YIS6/moc_mainwindow.cpp", because it doesn't exist, from "SRC:/gui/himbaechel/mainwindow.h" /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/bin/moc -DARCHNAME=himbaechel -DARCH_HIMBAECHEL -DNEXTPNR_NAMESPACE=nextpnr_himbaechel -DNO_RUST -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/himbaechel/uarch/gowin/gui -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui -I/gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/include/python3.11 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/himbaechel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/frontend -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/json -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/QtPropertyBrowser/src -I/gnu/store/lkr00hf38xnc265pziwm68bpii3xdg8q-imgui-1.86/include/imgui -I/gnu/store/ny8visn9x0y1r3bfylrirfbjprg3nh15-qtimgui-0.0-0.48d64a7/include/qtimgui -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/python-console -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/python-console/modified -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/himbaechel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/common -I/gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5 -I/gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtWidgets -I/gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtGui -I/gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtCore -I/gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/lib/qt5/mkspecs/linux-g++ -I/gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtOpenGL -I/gnu/store/f76qdd5i5aiyhii5vfg8inpcwgv81dyk-mesa-25.2.3/include -I/gnu/store/3702dz9kiacbldynjm02a61ni75p7hk6-pybind11-2.13.6/include -I/gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include -I/gnu/store/fjnqbp82xlk7grb1phi377ifvy2ag4lp-iverilog-12.0/include -I/gnu/store/8plnc3lpnfhyqyy4khcjzm7lhnvvnnw7-googletest-1.17.0/include -I/gnu/store/7ykml1rwb7dmgsic1nvcwf3f8sj4wg6g-bzip2-1.0.8/include -I/gnu/store/rnj26qklbw75sn0b74i802acshrijy66-file-5.46/include -I/gnu/store/5189xfjv3av3djx824k3vplzgam5yk1q-gawk-5.3.0/include -I/gnu/store/74kzd0881xh5b1b3cdq4pva26pywlpph-xz-5.4.5/include -I/gnu/store/mx9i9dnjx34lkx8k8r79876q2f5dclvr-make-4.4.1/include -I/gnu/store/x7x8y4c3ccn33sq7r9nb77y5d5mz3970-binutils-2.44/include -I/gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/include/c++ -I/gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/include -I/gnu/store/50jqiigxwa1s9xljqrl1wh85yncqnybr-glibc-2.41/include -I/gnu/store/4vxzslxx9fcvhcq0cqjr168shg8s1kwa-boost-1.83.0/include -I/gnu/store/r94kayv6y93mj1hgc13k11klha366dsw-eigen-3.4.0/include -I/gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/include -I/gnu/store/g34v9di9pw9d3p0z4gavygqp8197szky-qtwayland-5.15.17/include -I/gnu/store/ny8visn9x0y1r3bfylrirfbjprg3nh15-qtimgui-0.0-0.48d64a7/include -I/gnu/store/1zqziv4s5q2y8d3byhbzfz61hrpy4xrz-linux-libre-headers-6.12.17/include -I/gnu/store/lkr00hf38xnc265pziwm68bpii3xdg8q-imgui-1.86/include -I/gnu/store/52bc4k6kgp9cv4pinns4yfp5lagj8zqr-xorgproto-2024.1/include -I/gnu/store/9b6xbajrzba0jzvjpdw8sbnwk1iq6ja2-libxxf86vm-1.1.6/include -I/gnu/store/515l3riya9ffp834kd0fa0gn3xzqrdck-libxshmfence-1.3.3/include -I/gnu/store/mv71i3v40b2gqvqy1rfkc219cfihgwc0-libxfixes-6.0.1/include -I/gnu/store/4ab335v9nxqqfzvia87ccvgq33masz9a-libxdamage-1.1.6/include -I/gnu/store/vznnvmjy5q54gk0qvik9gi181li09wpf-libx11-1.8.12/include -I/gnu/store/dzbj2yv45vzrazlr6ywmzd828j4nx02p-libvdpau-1.5/include -I/gnu/store/56qrv5j1l2qfm1c4x0csidbprbq9ypcy-libdrm-2.4.124/include -I/gnu/store/1c41hrnadwb0a1af7n3skvj1kxznq29x-libxext-1.3.6/include -I/gnu/store/v60dfd1nbw4j0lk08ha0jfdmkin8xnpi-libxcb-1.17.0/include -I/gnu/store/v06qx4fqqy30fg4y3ls6p4c3g7wrmj89-libpciaccess-0.18.1/include -I/gnu/store/j461yp7q0sl48bbaj7f244aqycs3jwgl-libxdmcp-1.1.5/include -I/gnu/store/ywpvw7g27qcfr4clhdk2xxb5rijqfns3-libxau-1.0.12/include -I/gnu/store/rwwdavvfvn3d5nwwvmd4sf6bnw1qra88-zlib-1.3.1/include -I/gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/include/c++/aarch64-unknown-linux-gnu -I/gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/include/c++/backward -I/gnu/store/6ngp1bahsp96qmjnimvhf3hfi6abklsk-gcc-14.3.0-lib/lib/gcc/aarch64-unknown-linux-gnu/14.3.0/include -I/gnu/store/6ngp1bahsp96qmjnimvhf3hfi6abklsk-gcc-14.3.0-lib/lib/gcc/aarch64-unknown-linux-gnu/14.3.0/include-fixed --include /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/himbaechel/uarch/gowin/gui/nextpnr-himbaechel-gowin-gui_autogen/moc_predefs.h --output-dep-file -o /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/himbaechel/uarch/gowin/gui/nextpnr-himbaechel-gowin-gui_autogen/OOL7O2YIS6/moc_mainwindow.cpp /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/himbaechel/mainwindow.h AutoMoc: Generating "BIN:/himbaechel/uarch/ng-ultra/gui/nextpnr-himbaechel-ng-ultra-gui_autogen/OOL7O2YIS6/moc_mainwindow.cpp", because it doesn't exist, from "SRC:/gui/himbaechel/mainwindow.h" /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/bin/moc -DARCHNAME=himbaechel -DARCH_HIMBAECHEL -DNEXTPNR_NAMESPACE=nextpnr_himbaechel -DNO_RUST -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/himbaechel/uarch/ng-ultra/gui -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui -I/gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/include/python3.11 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/himbaechel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/frontend -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/json -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/QtPropertyBrowser/src -I/gnu/store/lkr00hf38xnc265pziwm68bpii3xdg8q-imgui-1.86/include/imgui -I/gnu/store/ny8visn9x0y1r3bfylrirfbjprg3nh15-qtimgui-0.0-0.48d64a7/include/qtimgui -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/python-console -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/python-console/modified -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/himbaechel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/common -I/gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5 -I/gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtWidgets -I/gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtGui -I/gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtCore -I/gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/lib/qt5/mkspecs/linux-g++ -I/gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtOpenGL -I/gnu/store/f76qdd5i5aiyhii5vfg8inpcwgv81dyk-mesa-25.2.3/include -I/gnu/store/3702dz9kiacbldynjm02a61ni75p7hk6-pybind11-2.13.6/include -I/gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include -I/gnu/store/fjnqbp82xlk7grb1phi377ifvy2ag4lp-iverilog-12.0/include -I/gnu/store/8plnc3lpnfhyqyy4khcjzm7lhnvvnnw7-googletest-1.17.0/include -I/gnu/store/7ykml1rwb7dmgsic1nvcwf3f8sj4wg6g-bzip2-1.0.8/include -I/gnu/store/rnj26qklbw75sn0b74i802acshrijy66-file-5.46/include -I/gnu/store/5189xfjv3av3djx824k3vplzgam5yk1q-gawk-5.3.0/include -I/gnu/store/74kzd0881xh5b1b3cdq4pva26pywlpph-xz-5.4.5/include -I/gnu/store/mx9i9dnjx34lkx8k8r79876q2f5dclvr-make-4.4.1/include -I/gnu/store/x7x8y4c3ccn33sq7r9nb77y5d5mz3970-binutils-2.44/include -I/gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/include/c++ -I/gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/include -I/gnu/store/50jqiigxwa1s9xljqrl1wh85yncqnybr-glibc-2.41/include -I/gnu/store/4vxzslxx9fcvhcq0cqjr168shg8s1kwa-boost-1.83.0/include -I/gnu/store/r94kayv6y93mj1hgc13k11klha366dsw-eigen-3.4.0/include -I/gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/include -I/gnu/store/g34v9di9pw9d3p0z4gavygqp8197szky-qtwayland-5.15.17/include -I/gnu/store/ny8visn9x0y1r3bfylrirfbjprg3nh15-qtimgui-0.0-0.48d64a7/include -I/gnu/store/1zqziv4s5q2y8d3byhbzfz61hrpy4xrz-linux-libre-headers-6.12.17/include -I/gnu/store/lkr00hf38xnc265pziwm68bpii3xdg8q-imgui-1.86/include -I/gnu/store/52bc4k6kgp9cv4pinns4yfp5lagj8zqr-xorgproto-2024.1/include -I/gnu/store/9b6xbajrzba0jzvjpdw8sbnwk1iq6ja2-libxxf86vm-1.1.6/include -I/gnu/store/515l3riya9ffp834kd0fa0gn3xzqrdck-libxshmfence-1.3.3/include -I/gnu/store/mv71i3v40b2gqvqy1rfkc219cfihgwc0-libxfixes-6.0.1/include -I/gnu/store/4ab335v9nxqqfzvia87ccvgq33masz9a-libxdamage-1.1.6/include -I/gnu/store/vznnvmjy5q54gk0qvik9gi181li09wpf-libx11-1.8.12/include -I/gnu/store/dzbj2yv45vzrazlr6ywmzd828j4nx02p-libvdpau-1.5/include -I/gnu/store/56qrv5j1l2qfm1c4x0csidbprbq9ypcy-libdrm-2.4.124/include -I/gnu/store/1c41hrnadwb0a1af7n3skvj1kxznq29x-libxext-1.3.6/include -I/gnu/store/v60dfd1nbw4j0lk08ha0jfdmkin8xnpi-libxcb-1.17.0/include -I/gnu/store/v06qx4fqqy30fg4y3ls6p4c3g7wrmj89-libpciaccess-0.18.1/include -I/gnu/store/j461yp7q0sl48bbaj7f244aqycs3jwgl-libxdmcp-1.1.5/include -I/gnu/store/ywpvw7g27qcfr4clhdk2xxb5rijqfns3-libxau-1.0.12/include -I/gnu/store/rwwdavvfvn3d5nwwvmd4sf6bnw1qra88-zlib-1.3.1/include -I/gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/include/c++/aarch64-unknown-linux-gnu -I/gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/include/c++/backward -I/gnu/store/6ngp1bahsp96qmjnimvhf3hfi6abklsk-gcc-14.3.0-lib/lib/gcc/aarch64-unknown-linux-gnu/14.3.0/include -I/gnu/store/6ngp1bahsp96qmjnimvhf3hfi6abklsk-gcc-14.3.0-lib/lib/gcc/aarch64-unknown-linux-gnu/14.3.0/include-fixed --include /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/himbaechel/uarch/ng-ultra/gui/nextpnr-himbaechel-ng-ultra-gui_autogen/moc_predefs.h --output-dep-file -o /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/himbaechel/uarch/ng-ultra/gui/nextpnr-himbaechel-ng-ultra-gui_autogen/OOL7O2YIS6/moc_mainwindow.cpp /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/himbaechel/mainwindow.h AutoMoc: Reading dependencies from "BIN:/himbaechel/uarch/gatemate/gui/nextpnr-himbaechel-gatemate-gui_autogen/EWIEGA46WW/moc_fpgaviewwidget.cpp.d" cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/ice40 && /gnu/store/d5c8i3b549r412lkhddggyfx9mqdphs7-cmake-minimal-3.31.10/bin/cmake -E rename /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/ice40/chipdb-384.bba.new /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/ice40/chipdb-384.bba AutoMoc: Generating "BIN:/himbaechel/uarch/gatemate/gui/nextpnr-himbaechel-gatemate-gui_autogen/OOL7O2YIS6/moc_mainwindow.cpp", because it doesn't exist, from "SRC:/gui/himbaechel/mainwindow.h" /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/bin/moc -DARCHNAME=himbaechel -DARCH_HIMBAECHEL -DNEXTPNR_NAMESPACE=nextpnr_himbaechel -DNO_RUST -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/himbaechel/uarch/gatemate/gui -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui -I/gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/include/python3.11 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/himbaechel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/frontend -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/json -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/QtPropertyBrowser/src -I/gnu/store/lkr00hf38xnc265pziwm68bpii3xdg8q-imgui-1.86/include/imgui -I/gnu/store/ny8visn9x0y1r3bfylrirfbjprg3nh15-qtimgui-0.0-0.48d64a7/include/qtimgui -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/python-console -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/python-console/modified -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/himbaechel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/common -I/gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5 -I/gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtWidgets -I/gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtGui -I/gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtCore -I/gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/lib/qt5/mkspecs/linux-g++ -I/gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtOpenGL -I/gnu/store/f76qdd5i5aiyhii5vfg8inpcwgv81dyk-mesa-25.2.3/include -I/gnu/store/3702dz9kiacbldynjm02a61ni75p7hk6-pybind11-2.13.6/include -I/gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include -I/gnu/store/fjnqbp82xlk7grb1phi377ifvy2ag4lp-iverilog-12.0/include -I/gnu/store/8plnc3lpnfhyqyy4khcjzm7lhnvvnnw7-googletest-1.17.0/include -I/gnu/store/7ykml1rwb7dmgsic1nvcwf3f8sj4wg6g-bzip2-1.0.8/include -I/gnu/store/rnj26qklbw75sn0b74i802acshrijy66-file-5.46/include -I/gnu/store/5189xfjv3av3djx824k3vplzgam5yk1q-gawk-5.3.0/include -I/gnu/store/74kzd0881xh5b1b3cdq4pva26pywlpph-xz-5.4.5/include -I/gnu/store/mx9i9dnjx34lkx8k8r79876q2f5dclvr-make-4.4.1/include -I/gnu/store/x7x8y4c3ccn33sq7r9nb77y5d5mz3970-binutils-2.44/include -I/gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/include/c++ -I/gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/include -I/gnu/store/50jqiigxwa1s9xljqrl1wh85yncqnybr-glibc-2.41/include -I/gnu/store/4vxzslxx9fcvhcq0cqjr168shg8s1kwa-boost-1.83.0/include -I/gnu/store/r94kayv6y93mj1hgc13k11klha366dsw-eigen-3.4.0/include -I/gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/include -I/gnu/store/g34v9di9pw9d3p0z4gavygqp8197szky-qtwayland-5.15.17/include -I/gnu/store/ny8visn9x0y1r3bfylrirfbjprg3nh15-qtimgui-0.0-0.48d64a7/include -I/gnu/store/1zqziv4s5q2y8d3byhbzfz61hrpy4xrz-linux-libre-headers-6.12.17/include -I/gnu/store/lkr00hf38xnc265pziwm68bpii3xdg8q-imgui-1.86/include -I/gnu/store/52bc4k6kgp9cv4pinns4yfp5lagj8zqr-xorgproto-2024.1/include -I/gnu/store/9b6xbajrzba0jzvjpdw8sbnwk1iq6ja2-libxxf86vm-1.1.6/include -I/gnu/store/515l3riya9ffp834kd0fa0gn3xzqrdck-libxshmfence-1.3.3/include -I/gnu/store/mv71i3v40b2gqvqy1rfkc219cfihgwc0-libxfixes-6.0.1/include -I/gnu/store/4ab335v9nxqqfzvia87ccvgq33masz9a-libxdamage-1.1.6/include -I/gnu/store/vznnvmjy5q54gk0qvik9gi181li09wpf-libx11-1.8.12/include -I/gnu/store/dzbj2yv45vzrazlr6ywmzd828j4nx02p-libvdpau-1.5/include -I/gnu/store/56qrv5j1l2qfm1c4x0csidbprbq9ypcy-libdrm-2.4.124/include -I/gnu/store/1c41hrnadwb0a1af7n3skvj1kxznq29x-libxext-1.3.6/include -I/gnu/store/v60dfd1nbw4j0lk08ha0jfdmkin8xnpi-libxcb-1.17.0/include -I/gnu/store/v06qx4fqqy30fg4y3ls6p4c3g7wrmj89-libpciaccess-0.18.1/include -I/gnu/store/j461yp7q0sl48bbaj7f244aqycs3jwgl-libxdmcp-1.1.5/include -I/gnu/store/ywpvw7g27qcfr4clhdk2xxb5rijqfns3-libxau-1.0.12/include -I/gnu/store/rwwdavvfvn3d5nwwvmd4sf6bnw1qra88-zlib-1.3.1/include -I/gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/include/c++/aarch64-unknown-linux-gnu -I/gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/include/c++/backward -I/gnu/store/6ngp1bahsp96qmjnimvhf3hfi6abklsk-gcc-14.3.0-lib/lib/gcc/aarch64-unknown-linux-gnu/14.3.0/include -I/gnu/store/6ngp1bahsp96qmjnimvhf3hfi6abklsk-gcc-14.3.0-lib/lib/gcc/aarch64-unknown-linux-gnu/14.3.0/include-fixed --include /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/himbaechel/uarch/gatemate/gui/nextpnr-himbaechel-gatemate-gui_autogen/moc_predefs.h --output-dep-file -o /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/himbaechel/uarch/gatemate/gui/nextpnr-himbaechel-gatemate-gui_autogen/OOL7O2YIS6/moc_mainwindow.cpp /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/himbaechel/mainwindow.h cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/ice40 && /gnu/store/d5c8i3b549r412lkhddggyfx9mqdphs7-cmake-minimal-3.31.10/bin/cmake -E copy /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/ice40/chipdb-384.bba /gnu/store/davzxg5m27gz2djfkghkz3pb7ys8gvgi-nextpnr-ice40-0.9-0.ad76625-bba/share/nextpnr/bba-files/ice40/chipdb-384.bba [ 9%] Generating chipdb-384.bin.cc cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/ice40 && ../bba/bbasm --le --c /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/ice40/chipdb-384.bba /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/ice40/chipdb-384.bin.cc AutoMoc: Reading dependencies from "BIN:/ice40/gui/nextpnr-ice40-gui_autogen/7FXS3DRWOX/moc_mainwindow.cpp.d" AutoMoc: Generating "BIN:/ice40/gui/nextpnr-ice40-gui_autogen/EWIEGA46WW/moc_line_editor.cpp", because it doesn't exist, from "SRC:/gui/line_editor.h" /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/bin/moc -DARCHNAME=ice40 -DARCH_ICE40 -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DNO_RUST -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/ice40/gui -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui -I/gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/include/python3.11 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/ice40 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/frontend -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/json -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/QtPropertyBrowser/src -I/gnu/store/lkr00hf38xnc265pziwm68bpii3xdg8q-imgui-1.86/include/imgui -I/gnu/store/ny8visn9x0y1r3bfylrirfbjprg3nh15-qtimgui-0.0-0.48d64a7/include/qtimgui -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/python-console -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/python-console/modified -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/ice40 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/common -I/gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5 -I/gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtWidgets -I/gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtGui -I/gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtCore -I/gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/lib/qt5/mkspecs/linux-g++ -I/gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtOpenGL -I/gnu/store/f76qdd5i5aiyhii5vfg8inpcwgv81dyk-mesa-25.2.3/include -I/gnu/store/3702dz9kiacbldynjm02a61ni75p7hk6-pybind11-2.13.6/include -I/gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include -I/gnu/store/fjnqbp82xlk7grb1phi377ifvy2ag4lp-iverilog-12.0/include -I/gnu/store/8plnc3lpnfhyqyy4khcjzm7lhnvvnnw7-googletest-1.17.0/include -I/gnu/store/7ykml1rwb7dmgsic1nvcwf3f8sj4wg6g-bzip2-1.0.8/include -I/gnu/store/rnj26qklbw75sn0b74i802acshrijy66-file-5.46/include -I/gnu/store/5189xfjv3av3djx824k3vplzgam5yk1q-gawk-5.3.0/include -I/gnu/store/74kzd0881xh5b1b3cdq4pva26pywlpph-xz-5.4.5/include -I/gnu/store/mx9i9dnjx34lkx8k8r79876q2f5dclvr-make-4.4.1/include -I/gnu/store/x7x8y4c3ccn33sq7r9nb77y5d5mz3970-binutils-2.44/include -I/gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/include/c++ -I/gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/include -I/gnu/store/50jqiigxwa1s9xljqrl1wh85yncqnybr-glibc-2.41/include -I/gnu/store/4vxzslxx9fcvhcq0cqjr168shg8s1kwa-boost-1.83.0/include -I/gnu/store/r94kayv6y93mj1hgc13k11klha366dsw-eigen-3.4.0/include -I/gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/include -I/gnu/store/g34v9di9pw9d3p0z4gavygqp8197szky-qtwayland-5.15.17/include -I/gnu/store/ny8visn9x0y1r3bfylrirfbjprg3nh15-qtimgui-0.0-0.48d64a7/include -I/gnu/store/1zqziv4s5q2y8d3byhbzfz61hrpy4xrz-linux-libre-headers-6.12.17/include -I/gnu/store/lkr00hf38xnc265pziwm68bpii3xdg8q-imgui-1.86/include -I/gnu/store/52bc4k6kgp9cv4pinns4yfp5lagj8zqr-xorgproto-2024.1/include -I/gnu/store/9b6xbajrzba0jzvjpdw8sbnwk1iq6ja2-libxxf86vm-1.1.6/include -I/gnu/store/515l3riya9ffp834kd0fa0gn3xzqrdck-libxshmfence-1.3.3/include -I/gnu/store/mv71i3v40b2gqvqy1rfkc219cfihgwc0-libxfixes-6.0.1/include -I/gnu/store/4ab335v9nxqqfzvia87ccvgq33masz9a-libxdamage-1.1.6/include -I/gnu/store/vznnvmjy5q54gk0qvik9gi181li09wpf-libx11-1.8.12/include -I/gnu/store/dzbj2yv45vzrazlr6ywmzd828j4nx02p-libvdpau-1.5/include -I/gnu/store/56qrv5j1l2qfm1c4x0csidbprbq9ypcy-libdrm-2.4.124/include -I/gnu/store/1c41hrnadwb0a1af7n3skvj1kxznq29x-libxext-1.3.6/include -I/gnu/store/v60dfd1nbw4j0lk08ha0jfdmkin8xnpi-libxcb-1.17.0/include -I/gnu/store/v06qx4fqqy30fg4y3ls6p4c3g7wrmj89-libpciaccess-0.18.1/include -I/gnu/store/j461yp7q0sl48bbaj7f244aqycs3jwgl-libxdmcp-1.1.5/include -I/gnu/store/ywpvw7g27qcfr4clhdk2xxb5rijqfns3-libxau-1.0.12/include -I/gnu/store/rwwdavvfvn3d5nwwvmd4sf6bnw1qra88-zlib-1.3.1/include -I/gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/include/c++/aarch64-unknown-linux-gnu -I/gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/include/c++/backward -I/gnu/store/6ngp1bahsp96qmjnimvhf3hfi6abklsk-gcc-14.3.0-lib/lib/gcc/aarch64-unknown-linux-gnu/14.3.0/include -I/gnu/store/6ngp1bahsp96qmjnimvhf3hfi6abklsk-gcc-14.3.0-lib/lib/gcc/aarch64-unknown-linux-gnu/14.3.0/include-fixed --include /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/ice40/gui/nextpnr-ice40-gui_autogen/moc_predefs.h --output-dep-file -o /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/ice40/gui/nextpnr-ice40-gui_autogen/EWIEGA46WW/moc_line_editor.cpp /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/line_editor.h AutoMoc: Reading dependencies from "BIN:/generic/gui/nextpnr-generic-gui_autogen/YGZ6VZXXHR/moc_mainwindow.cpp.d" AutoMoc: Reading dependencies from "BIN:/ecp5/gui/nextpnr-ecp5-gui_autogen/EWIEGA46WW/moc_fpgaviewwidget.cpp.d" AutoMoc: Generating "BIN:/generic/gui/nextpnr-generic-gui_autogen/EWIEGA46WW/moc_line_editor.cpp", because it doesn't exist, from "SRC:/gui/line_editor.h" /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/bin/moc -DARCHNAME=generic -DARCH_GENERIC -DNEXTPNR_NAMESPACE=nextpnr_generic -DNO_RUST -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/generic/gui -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui -I/gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/include/python3.11 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/generic -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/frontend -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/json -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/QtPropertyBrowser/src -I/gnu/store/lkr00hf38xnc265pziwm68bpii3xdg8q-imgui-1.86/include/imgui -I/gnu/store/ny8visn9x0y1r3bfylrirfbjprg3nh15-qtimgui-0.0-0.48d64a7/include/qtimgui -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/python-console -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/python-console/modified -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/generic -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/common -I/gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5 -I/gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtWidgets -I/gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtGui -I/gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtCore -I/gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/lib/qt5/mkspecs/linux-g++ -I/gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtOpenGL -I/gnu/store/f76qdd5i5aiyhii5vfg8inpcwgv81dyk-mesa-25.2.3/include -I/gnu/store/3702dz9kiacbldynjm02a61ni75p7hk6-pybind11-2.13.6/include -I/gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include -I/gnu/store/fjnqbp82xlk7grb1phi377ifvy2ag4lp-iverilog-12.0/include -I/gnu/store/8plnc3lpnfhyqyy4khcjzm7lhnvvnnw7-googletest-1.17.0/include -I/gnu/store/7ykml1rwb7dmgsic1nvcwf3f8sj4wg6g-bzip2-1.0.8/include -I/gnu/store/rnj26qklbw75sn0b74i802acshrijy66-file-5.46/include -I/gnu/store/5189xfjv3av3djx824k3vplzgam5yk1q-gawk-5.3.0/include -I/gnu/store/74kzd0881xh5b1b3cdq4pva26pywlpph-xz-5.4.5/include -I/gnu/store/mx9i9dnjx34lkx8k8r79876q2f5dclvr-make-4.4.1/include -I/gnu/store/x7x8y4c3ccn33sq7r9nb77y5d5mz3970-binutils-2.44/include -I/gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/include/c++ -I/gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/include -I/gnu/store/50jqiigxwa1s9xljqrl1wh85yncqnybr-glibc-2.41/include -I/gnu/store/4vxzslxx9fcvhcq0cqjr168shg8s1kwa-boost-1.83.0/include -I/gnu/store/r94kayv6y93mj1hgc13k11klha366dsw-eigen-3.4.0/include -I/gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/include -I/gnu/store/g34v9di9pw9d3p0z4gavygqp8197szky-qtwayland-5.15.17/include -I/gnu/store/ny8visn9x0y1r3bfylrirfbjprg3nh15-qtimgui-0.0-0.48d64a7/include -I/gnu/store/1zqziv4s5q2y8d3byhbzfz61hrpy4xrz-linux-libre-headers-6.12.17/include -I/gnu/store/lkr00hf38xnc265pziwm68bpii3xdg8q-imgui-1.86/include -I/gnu/store/52bc4k6kgp9cv4pinns4yfp5lagj8zqr-xorgproto-2024.1/include -I/gnu/store/9b6xbajrzba0jzvjpdw8sbnwk1iq6ja2-libxxf86vm-1.1.6/include -I/gnu/store/515l3riya9ffp834kd0fa0gn3xzqrdck-libxshmfence-1.3.3/include -I/gnu/store/mv71i3v40b2gqvqy1rfkc219cfihgwc0-libxfixes-6.0.1/include -I/gnu/store/4ab335v9nxqqfzvia87ccvgq33masz9a-libxdamage-1.1.6/include -I/gnu/store/vznnvmjy5q54gk0qvik9gi181li09wpf-libx11-1.8.12/include -I/gnu/store/dzbj2yv45vzrazlr6ywmzd828j4nx02p-libvdpau-1.5/include -I/gnu/store/56qrv5j1l2qfm1c4x0csidbprbq9ypcy-libdrm-2.4.124/include -I/gnu/store/1c41hrnadwb0a1af7n3skvj1kxznq29x-libxext-1.3.6/include -I/gnu/store/v60dfd1nbw4j0lk08ha0jfdmkin8xnpi-libxcb-1.17.0/include -I/gnu/store/v06qx4fqqy30fg4y3ls6p4c3g7wrmj89-libpciaccess-0.18.1/include -I/gnu/store/j461yp7q0sl48bbaj7f244aqycs3jwgl-libxdmcp-1.1.5/include -I/gnu/store/ywpvw7g27qcfr4clhdk2xxb5rijqfns3-libxau-1.0.12/include -I/gnu/store/rwwdavvfvn3d5nwwvmd4sf6bnw1qra88-zlib-1.3.1/include -I/gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/include/c++/aarch64-unknown-linux-gnu -I/gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/include/c++/backward -I/gnu/store/6ngp1bahsp96qmjnimvhf3hfi6abklsk-gcc-14.3.0-lib/lib/gcc/aarch64-unknown-linux-gnu/14.3.0/include -I/gnu/store/6ngp1bahsp96qmjnimvhf3hfi6abklsk-gcc-14.3.0-lib/lib/gcc/aarch64-unknown-linux-gnu/14.3.0/include-fixed --include /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/generic/gui/nextpnr-generic-gui_autogen/moc_predefs.h --output-dep-file -o /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/generic/gui/nextpnr-generic-gui_autogen/EWIEGA46WW/moc_line_editor.cpp /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/line_editor.h AutoMoc: Generating "BIN:/ecp5/gui/nextpnr-ecp5-gui_autogen/EWIEGA46WW/moc_line_editor.cpp", because it doesn't exist, from "SRC:/gui/line_editor.h" /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/bin/moc -DARCHNAME=ecp5 -DARCH_ECP5 -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DNO_RUST -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/ecp5/gui -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui -I/gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/include/python3.11 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/ecp5 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/frontend -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/json -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/QtPropertyBrowser/src -I/gnu/store/lkr00hf38xnc265pziwm68bpii3xdg8q-imgui-1.86/include/imgui -I/gnu/store/ny8visn9x0y1r3bfylrirfbjprg3nh15-qtimgui-0.0-0.48d64a7/include/qtimgui -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/python-console -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/python-console/modified -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/ecp5 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/common -I/gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5 -I/gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtWidgets -I/gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtGui -I/gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtCore -I/gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/lib/qt5/mkspecs/linux-g++ -I/gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtOpenGL -I/gnu/store/f76qdd5i5aiyhii5vfg8inpcwgv81dyk-mesa-25.2.3/include -I/gnu/store/3702dz9kiacbldynjm02a61ni75p7hk6-pybind11-2.13.6/include -I/gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include -I/gnu/store/fjnqbp82xlk7grb1phi377ifvy2ag4lp-iverilog-12.0/include -I/gnu/store/8plnc3lpnfhyqyy4khcjzm7lhnvvnnw7-googletest-1.17.0/include -I/gnu/store/7ykml1rwb7dmgsic1nvcwf3f8sj4wg6g-bzip2-1.0.8/include -I/gnu/store/rnj26qklbw75sn0b74i802acshrijy66-file-5.46/include -I/gnu/store/5189xfjv3av3djx824k3vplzgam5yk1q-gawk-5.3.0/include -I/gnu/store/74kzd0881xh5b1b3cdq4pva26pywlpph-xz-5.4.5/include -I/gnu/store/mx9i9dnjx34lkx8k8r79876q2f5dclvr-make-4.4.1/include -I/gnu/store/x7x8y4c3ccn33sq7r9nb77y5d5mz3970-binutils-2.44/include -I/gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/include/c++ -I/gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/include -I/gnu/store/50jqiigxwa1s9xljqrl1wh85yncqnybr-glibc-2.41/include -I/gnu/store/4vxzslxx9fcvhcq0cqjr168shg8s1kwa-boost-1.83.0/include -I/gnu/store/r94kayv6y93mj1hgc13k11klha366dsw-eigen-3.4.0/include -I/gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/include -I/gnu/store/g34v9di9pw9d3p0z4gavygqp8197szky-qtwayland-5.15.17/include -I/gnu/store/ny8visn9x0y1r3bfylrirfbjprg3nh15-qtimgui-0.0-0.48d64a7/include -I/gnu/store/1zqziv4s5q2y8d3byhbzfz61hrpy4xrz-linux-libre-headers-6.12.17/include -I/gnu/store/lkr00hf38xnc265pziwm68bpii3xdg8q-imgui-1.86/include -I/gnu/store/52bc4k6kgp9cv4pinns4yfp5lagj8zqr-xorgproto-2024.1/include -I/gnu/store/9b6xbajrzba0jzvjpdw8sbnwk1iq6ja2-libxxf86vm-1.1.6/include -I/gnu/store/515l3riya9ffp834kd0fa0gn3xzqrdck-libxshmfence-1.3.3/include -I/gnu/store/mv71i3v40b2gqvqy1rfkc219cfihgwc0-libxfixes-6.0.1/include -I/gnu/store/4ab335v9nxqqfzvia87ccvgq33masz9a-libxdamage-1.1.6/include -I/gnu/store/vznnvmjy5q54gk0qvik9gi181li09wpf-libx11-1.8.12/include -I/gnu/store/dzbj2yv45vzrazlr6ywmzd828j4nx02p-libvdpau-1.5/include -I/gnu/store/56qrv5j1l2qfm1c4x0csidbprbq9ypcy-libdrm-2.4.124/include -I/gnu/store/1c41hrnadwb0a1af7n3skvj1kxznq29x-libxext-1.3.6/include -I/gnu/store/v60dfd1nbw4j0lk08ha0jfdmkin8xnpi-libxcb-1.17.0/include -I/gnu/store/v06qx4fqqy30fg4y3ls6p4c3g7wrmj89-libpciaccess-0.18.1/include -I/gnu/store/j461yp7q0sl48bbaj7f244aqycs3jwgl-libxdmcp-1.1.5/include -I/gnu/store/ywpvw7g27qcfr4clhdk2xxb5rijqfns3-libxau-1.0.12/include -I/gnu/store/rwwdavvfvn3d5nwwvmd4sf6bnw1qra88-zlib-1.3.1/include -I/gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/include/c++/aarch64-unknown-linux-gnu -I/gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/include/c++/backward -I/gnu/store/6ngp1bahsp96qmjnimvhf3hfi6abklsk-gcc-14.3.0-lib/lib/gcc/aarch64-unknown-linux-gnu/14.3.0/include -I/gnu/store/6ngp1bahsp96qmjnimvhf3hfi6abklsk-gcc-14.3.0-lib/lib/gcc/aarch64-unknown-linux-gnu/14.3.0/include-fixed --include /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/ecp5/gui/nextpnr-ecp5-gui_autogen/moc_predefs.h --output-dep-file -o /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/ecp5/gui/nextpnr-ecp5-gui_autogen/EWIEGA46WW/moc_line_editor.cpp /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/line_editor.h Deduplicating tile shapes... 760 unique tile routing shapes AutoMoc: Reading dependencies from "BIN:/himbaechel/uarch/gowin/gui/nextpnr-himbaechel-gowin-gui_autogen/OOL7O2YIS6/moc_mainwindow.cpp.d" AutoMoc: Reading dependencies from "BIN:/himbaechel/uarch/ng-ultra/gui/nextpnr-himbaechel-ng-ultra-gui_autogen/OOL7O2YIS6/moc_mainwindow.cpp.d" AutoMoc: Generating "BIN:/himbaechel/uarch/gowin/gui/nextpnr-himbaechel-gowin-gui_autogen/EWIEGA46WW/moc_line_editor.cpp", because it doesn't exist, from "SRC:/gui/line_editor.h" /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/bin/moc -DARCHNAME=himbaechel -DARCH_HIMBAECHEL -DNEXTPNR_NAMESPACE=nextpnr_himbaechel -DNO_RUST -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/himbaechel/uarch/gowin/gui -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui -I/gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/include/python3.11 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/himbaechel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/frontend -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/json -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/QtPropertyBrowser/src -I/gnu/store/lkr00hf38xnc265pziwm68bpii3xdg8q-imgui-1.86/include/imgui -I/gnu/store/ny8visn9x0y1r3bfylrirfbjprg3nh15-qtimgui-0.0-0.48d64a7/include/qtimgui -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/python-console -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/python-console/modified -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/himbaechel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/common -I/gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5 -I/gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtWidgets -I/gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtGui -I/gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtCore -I/gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/lib/qt5/mkspecs/linux-g++ -I/gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtOpenGL -I/gnu/store/f76qdd5i5aiyhii5vfg8inpcwgv81dyk-mesa-25.2.3/include -I/gnu/store/3702dz9kiacbldynjm02a61ni75p7hk6-pybind11-2.13.6/include -I/gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include -I/gnu/store/fjnqbp82xlk7grb1phi377ifvy2ag4lp-iverilog-12.0/include -I/gnu/store/8plnc3lpnfhyqyy4khcjzm7lhnvvnnw7-googletest-1.17.0/include -I/gnu/store/7ykml1rwb7dmgsic1nvcwf3f8sj4wg6g-bzip2-1.0.8/include -I/gnu/store/rnj26qklbw75sn0b74i802acshrijy66-file-5.46/include -I/gnu/store/5189xfjv3av3djx824k3vplzgam5yk1q-gawk-5.3.0/include -I/gnu/store/74kzd0881xh5b1b3cdq4pva26pywlpph-xz-5.4.5/include -I/gnu/store/mx9i9dnjx34lkx8k8r79876q2f5dclvr-make-4.4.1/include -I/gnu/store/x7x8y4c3ccn33sq7r9nb77y5d5mz3970-binutils-2.44/include -I/gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/include/c++ -I/gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/include -I/gnu/store/50jqiigxwa1s9xljqrl1wh85yncqnybr-glibc-2.41/include -I/gnu/store/4vxzslxx9fcvhcq0cqjr168shg8s1kwa-boost-1.83.0/include -I/gnu/store/r94kayv6y93mj1hgc13k11klha366dsw-eigen-3.4.0/include -I/gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/include -I/gnu/store/g34v9di9pw9d3p0z4gavygqp8197szky-qtwayland-5.15.17/include -I/gnu/store/ny8visn9x0y1r3bfylrirfbjprg3nh15-qtimgui-0.0-0.48d64a7/include -I/gnu/store/1zqziv4s5q2y8d3byhbzfz61hrpy4xrz-linux-libre-headers-6.12.17/include -I/gnu/store/lkr00hf38xnc265pziwm68bpii3xdg8q-imgui-1.86/include -I/gnu/store/52bc4k6kgp9cv4pinns4yfp5lagj8zqr-xorgproto-2024.1/include -I/gnu/store/9b6xbajrzba0jzvjpdw8sbnwk1iq6ja2-libxxf86vm-1.1.6/include -I/gnu/store/515l3riya9ffp834kd0fa0gn3xzqrdck-libxshmfence-1.3.3/include -I/gnu/store/mv71i3v40b2gqvqy1rfkc219cfihgwc0-libxfixes-6.0.1/include -I/gnu/store/4ab335v9nxqqfzvia87ccvgq33masz9a-libxdamage-1.1.6/include -I/gnu/store/vznnvmjy5q54gk0qvik9gi181li09wpf-libx11-1.8.12/include -I/gnu/store/dzbj2yv45vzrazlr6ywmzd828j4nx02p-libvdpau-1.5/include -I/gnu/store/56qrv5j1l2qfm1c4x0csidbprbq9ypcy-libdrm-2.4.124/include -I/gnu/store/1c41hrnadwb0a1af7n3skvj1kxznq29x-libxext-1.3.6/include -I/gnu/store/v60dfd1nbw4j0lk08ha0jfdmkin8xnpi-libxcb-1.17.0/include -I/gnu/store/v06qx4fqqy30fg4y3ls6p4c3g7wrmj89-libpciaccess-0.18.1/include -I/gnu/store/j461yp7q0sl48bbaj7f244aqycs3jwgl-libxdmcp-1.1.5/include -I/gnu/store/ywpvw7g27qcfr4clhdk2xxb5rijqfns3-libxau-1.0.12/include -I/gnu/store/rwwdavvfvn3d5nwwvmd4sf6bnw1qra88-zlib-1.3.1/include -I/gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/include/c++/aarch64-unknown-linux-gnu -I/gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/include/c++/backward -I/gnu/store/6ngp1bahsp96qmjnimvhf3hfi6abklsk-gcc-14.3.0-lib/lib/gcc/aarch64-unknown-linux-gnu/14.3.0/include -I/gnu/store/6ngp1bahsp96qmjnimvhf3hfi6abklsk-gcc-14.3.0-lib/lib/gcc/aarch64-unknown-linux-gnu/14.3.0/include-fixed --include /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/himbaechel/uarch/gowin/gui/nextpnr-himbaechel-gowin-gui_autogen/moc_predefs.h --output-dep-file -o /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/himbaechel/uarch/gowin/gui/nextpnr-himbaechel-gowin-gui_autogen/EWIEGA46WW/moc_line_editor.cpp /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/line_editor.h AutoMoc: Generating "BIN:/himbaechel/uarch/ng-ultra/gui/nextpnr-himbaechel-ng-ultra-gui_autogen/EWIEGA46WW/moc_line_editor.cpp", because it doesn't exist, from "SRC:/gui/line_editor.h" /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/bin/moc -DARCHNAME=himbaechel -DARCH_HIMBAECHEL -DNEXTPNR_NAMESPACE=nextpnr_himbaechel -DNO_RUST -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/himbaechel/uarch/ng-ultra/gui -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui -I/gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/include/python3.11 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/himbaechel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/frontend -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/json -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/QtPropertyBrowser/src -I/gnu/store/lkr00hf38xnc265pziwm68bpii3xdg8q-imgui-1.86/include/imgui -I/gnu/store/ny8visn9x0y1r3bfylrirfbjprg3nh15-qtimgui-0.0-0.48d64a7/include/qtimgui -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/python-console -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/python-console/modified -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/himbaechel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/common -I/gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5 -I/gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtWidgets -I/gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtGui -I/gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtCore -I/gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/lib/qt5/mkspecs/linux-g++ -I/gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtOpenGL -I/gnu/store/f76qdd5i5aiyhii5vfg8inpcwgv81dyk-mesa-25.2.3/include -I/gnu/store/3702dz9kiacbldynjm02a61ni75p7hk6-pybind11-2.13.6/include -I/gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include -I/gnu/store/fjnqbp82xlk7grb1phi377ifvy2ag4lp-iverilog-12.0/include -I/gnu/store/8plnc3lpnfhyqyy4khcjzm7lhnvvnnw7-googletest-1.17.0/include -I/gnu/store/7ykml1rwb7dmgsic1nvcwf3f8sj4wg6g-bzip2-1.0.8/include -I/gnu/store/rnj26qklbw75sn0b74i802acshrijy66-file-5.46/include -I/gnu/store/5189xfjv3av3djx824k3vplzgam5yk1q-gawk-5.3.0/include -I/gnu/store/74kzd0881xh5b1b3cdq4pva26pywlpph-xz-5.4.5/include -I/gnu/store/mx9i9dnjx34lkx8k8r79876q2f5dclvr-make-4.4.1/include -I/gnu/store/x7x8y4c3ccn33sq7r9nb77y5d5mz3970-binutils-2.44/include -I/gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/include/c++ -I/gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/include -I/gnu/store/50jqiigxwa1s9xljqrl1wh85yncqnybr-glibc-2.41/include -I/gnu/store/4vxzslxx9fcvhcq0cqjr168shg8s1kwa-boost-1.83.0/include -I/gnu/store/r94kayv6y93mj1hgc13k11klha366dsw-eigen-3.4.0/include -I/gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/include -I/gnu/store/g34v9di9pw9d3p0z4gavygqp8197szky-qtwayland-5.15.17/include -I/gnu/store/ny8visn9x0y1r3bfylrirfbjprg3nh15-qtimgui-0.0-0.48d64a7/include -I/gnu/store/1zqziv4s5q2y8d3byhbzfz61hrpy4xrz-linux-libre-headers-6.12.17/include -I/gnu/store/lkr00hf38xnc265pziwm68bpii3xdg8q-imgui-1.86/include -I/gnu/store/52bc4k6kgp9cv4pinns4yfp5lagj8zqr-xorgproto-2024.1/include -I/gnu/store/9b6xbajrzba0jzvjpdw8sbnwk1iq6ja2-libxxf86vm-1.1.6/include -I/gnu/store/515l3riya9ffp834kd0fa0gn3xzqrdck-libxshmfence-1.3.3/include -I/gnu/store/mv71i3v40b2gqvqy1rfkc219cfihgwc0-libxfixes-6.0.1/include -I/gnu/store/4ab335v9nxqqfzvia87ccvgq33masz9a-libxdamage-1.1.6/include -I/gnu/store/vznnvmjy5q54gk0qvik9gi181li09wpf-libx11-1.8.12/include -I/gnu/store/dzbj2yv45vzrazlr6ywmzd828j4nx02p-libvdpau-1.5/include -I/gnu/store/56qrv5j1l2qfm1c4x0csidbprbq9ypcy-libdrm-2.4.124/include -I/gnu/store/1c41hrnadwb0a1af7n3skvj1kxznq29x-libxext-1.3.6/include -I/gnu/store/v60dfd1nbw4j0lk08ha0jfdmkin8xnpi-libxcb-1.17.0/include -I/gnu/store/v06qx4fqqy30fg4y3ls6p4c3g7wrmj89-libpciaccess-0.18.1/include -I/gnu/store/j461yp7q0sl48bbaj7f244aqycs3jwgl-libxdmcp-1.1.5/include -I/gnu/store/ywpvw7g27qcfr4clhdk2xxb5rijqfns3-libxau-1.0.12/include -I/gnu/store/rwwdavvfvn3d5nwwvmd4sf6bnw1qra88-zlib-1.3.1/include -I/gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/include/c++/aarch64-unknown-linux-gnu -I/gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/include/c++/backward -I/gnu/store/6ngp1bahsp96qmjnimvhf3hfi6abklsk-gcc-14.3.0-lib/lib/gcc/aarch64-unknown-linux-gnu/14.3.0/include -I/gnu/store/6ngp1bahsp96qmjnimvhf3hfi6abklsk-gcc-14.3.0-lib/lib/gcc/aarch64-unknown-linux-gnu/14.3.0/include-fixed --include /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/himbaechel/uarch/ng-ultra/gui/nextpnr-himbaechel-ng-ultra-gui_autogen/moc_predefs.h --output-dep-file -o /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/himbaechel/uarch/ng-ultra/gui/nextpnr-himbaechel-ng-ultra-gui_autogen/EWIEGA46WW/moc_line_editor.cpp /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/line_editor.h AutoMoc: Reading dependencies from "BIN:/himbaechel/uarch/gatemate/gui/nextpnr-himbaechel-gatemate-gui_autogen/OOL7O2YIS6/moc_mainwindow.cpp.d" cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/himbaechel/uarch/gowin && /gnu/store/d5c8i3b549r412lkhddggyfx9mqdphs7-cmake-minimal-3.31.10/bin/cmake -E rename /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/himbaechel/uarch/gowin/chipdb-GW1N-4.bba.new /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/himbaechel/uarch/gowin/chipdb-GW1N-4.bba AutoMoc: Generating "BIN:/himbaechel/uarch/gatemate/gui/nextpnr-himbaechel-gatemate-gui_autogen/EWIEGA46WW/moc_line_editor.cpp", because it doesn't exist, from "SRC:/gui/line_editor.h" /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/bin/moc -DARCHNAME=himbaechel -DARCH_HIMBAECHEL -DNEXTPNR_NAMESPACE=nextpnr_himbaechel -DNO_RUST -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/himbaechel/uarch/gatemate/gui -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui -I/gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/include/python3.11 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/himbaechel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/frontend -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/json -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/QtPropertyBrowser/src -I/gnu/store/lkr00hf38xnc265pziwm68bpii3xdg8q-imgui-1.86/include/imgui -I/gnu/store/ny8visn9x0y1r3bfylrirfbjprg3nh15-qtimgui-0.0-0.48d64a7/include/qtimgui -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/python-console -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/python-console/modified -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/himbaechel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/common -I/gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5 -I/gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtWidgets -I/gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtGui -I/gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtCore -I/gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/lib/qt5/mkspecs/linux-g++ -I/gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtOpenGL -I/gnu/store/f76qdd5i5aiyhii5vfg8inpcwgv81dyk-mesa-25.2.3/include -I/gnu/store/3702dz9kiacbldynjm02a61ni75p7hk6-pybind11-2.13.6/include -I/gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include -I/gnu/store/fjnqbp82xlk7grb1phi377ifvy2ag4lp-iverilog-12.0/include -I/gnu/store/8plnc3lpnfhyqyy4khcjzm7lhnvvnnw7-googletest-1.17.0/include -I/gnu/store/7ykml1rwb7dmgsic1nvcwf3f8sj4wg6g-bzip2-1.0.8/include -I/gnu/store/rnj26qklbw75sn0b74i802acshrijy66-file-5.46/include -I/gnu/store/5189xfjv3av3djx824k3vplzgam5yk1q-gawk-5.3.0/include -I/gnu/store/74kzd0881xh5b1b3cdq4pva26pywlpph-xz-5.4.5/include -I/gnu/store/mx9i9dnjx34lkx8k8r79876q2f5dclvr-make-4.4.1/include -I/gnu/store/x7x8y4c3ccn33sq7r9nb77y5d5mz3970-binutils-2.44/include -I/gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/include/c++ -I/gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/include -I/gnu/store/50jqiigxwa1s9xljqrl1wh85yncqnybr-glibc-2.41/include -I/gnu/store/4vxzslxx9fcvhcq0cqjr168shg8s1kwa-boost-1.83.0/include -I/gnu/store/r94kayv6y93mj1hgc13k11klha366dsw-eigen-3.4.0/include -I/gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/include -I/gnu/store/g34v9di9pw9d3p0z4gavygqp8197szky-qtwayland-5.15.17/include -I/gnu/store/ny8visn9x0y1r3bfylrirfbjprg3nh15-qtimgui-0.0-0.48d64a7/include -I/gnu/store/1zqziv4s5q2y8d3byhbzfz61hrpy4xrz-linux-libre-headers-6.12.17/include -I/gnu/store/lkr00hf38xnc265pziwm68bpii3xdg8q-imgui-1.86/include -I/gnu/store/52bc4k6kgp9cv4pinns4yfp5lagj8zqr-xorgproto-2024.1/include -I/gnu/store/9b6xbajrzba0jzvjpdw8sbnwk1iq6ja2-libxxf86vm-1.1.6/include -I/gnu/store/515l3riya9ffp834kd0fa0gn3xzqrdck-libxshmfence-1.3.3/include -I/gnu/store/mv71i3v40b2gqvqy1rfkc219cfihgwc0-libxfixes-6.0.1/include -I/gnu/store/4ab335v9nxqqfzvia87ccvgq33masz9a-libxdamage-1.1.6/include -I/gnu/store/vznnvmjy5q54gk0qvik9gi181li09wpf-libx11-1.8.12/include -I/gnu/store/dzbj2yv45vzrazlr6ywmzd828j4nx02p-libvdpau-1.5/include -I/gnu/store/56qrv5j1l2qfm1c4x0csidbprbq9ypcy-libdrm-2.4.124/include -I/gnu/store/1c41hrnadwb0a1af7n3skvj1kxznq29x-libxext-1.3.6/include -I/gnu/store/v60dfd1nbw4j0lk08ha0jfdmkin8xnpi-libxcb-1.17.0/include -I/gnu/store/v06qx4fqqy30fg4y3ls6p4c3g7wrmj89-libpciaccess-0.18.1/include -I/gnu/store/j461yp7q0sl48bbaj7f244aqycs3jwgl-libxdmcp-1.1.5/include -I/gnu/store/ywpvw7g27qcfr4clhdk2xxb5rijqfns3-libxau-1.0.12/include -I/gnu/store/rwwdavvfvn3d5nwwvmd4sf6bnw1qra88-zlib-1.3.1/include -I/gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/include/c++/aarch64-unknown-linux-gnu -I/gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/include/c++/backward -I/gnu/store/6ngp1bahsp96qmjnimvhf3hfi6abklsk-gcc-14.3.0-lib/lib/gcc/aarch64-unknown-linux-gnu/14.3.0/include -I/gnu/store/6ngp1bahsp96qmjnimvhf3hfi6abklsk-gcc-14.3.0-lib/lib/gcc/aarch64-unknown-linux-gnu/14.3.0/include-fixed --include /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/himbaechel/uarch/gatemate/gui/nextpnr-himbaechel-gatemate-gui_autogen/moc_predefs.h --output-dep-file -o /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/himbaechel/uarch/gatemate/gui/nextpnr-himbaechel-gatemate-gui_autogen/EWIEGA46WW/moc_line_editor.cpp /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/line_editor.h cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/himbaechel/uarch/gowin && /gnu/store/d5c8i3b549r412lkhddggyfx9mqdphs7-cmake-minimal-3.31.10/bin/cmake -E copy /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/himbaechel/uarch/gowin/chipdb-GW1N-4.bba /gnu/store/davzxg5m27gz2djfkghkz3pb7ys8gvgi-nextpnr-ice40-0.9-0.ad76625-bba/share/nextpnr/bba-files/himbaechel/uarch/gowin/chipdb-GW1N-4.bba [ 9%] Generating chipdb-GW1N-4.bin cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/himbaechel/uarch/gowin && ../../../bba/bbasm --le /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/himbaechel/uarch/gowin/chipdb-GW1N-4.bba /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/himbaechel/uarch/gowin/chipdb-GW1N-4.bin Deduplicating tile shapes... 760 unique tile routing shapes cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/himbaechel/uarch/gowin && /gnu/store/d5c8i3b549r412lkhddggyfx9mqdphs7-cmake-minimal-3.31.10/bin/cmake -E rename /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/himbaechel/uarch/gowin/chipdb-GW1NS-4.bba.new /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/himbaechel/uarch/gowin/chipdb-GW1NS-4.bba cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/himbaechel/uarch/gowin && /gnu/store/d5c8i3b549r412lkhddggyfx9mqdphs7-cmake-minimal-3.31.10/bin/cmake -E copy /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/himbaechel/uarch/gowin/chipdb-GW1NS-4.bba /gnu/store/davzxg5m27gz2djfkghkz3pb7ys8gvgi-nextpnr-ice40-0.9-0.ad76625-bba/share/nextpnr/bba-files/himbaechel/uarch/gowin/chipdb-GW1NS-4.bba [ 9%] Generating chipdb-GW1NS-4.bin cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/himbaechel/uarch/gowin && ../../../bba/bbasm --le /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/himbaechel/uarch/gowin/chipdb-GW1NS-4.bba /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/himbaechel/uarch/gowin/chipdb-GW1NS-4.bin cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/himbaechel/uarch/gowin && /gnu/store/d5c8i3b549r412lkhddggyfx9mqdphs7-cmake-minimal-3.31.10/bin/cmake -E make_directory /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/share/himbaechel/gowin cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/himbaechel/uarch/gowin && /gnu/store/d5c8i3b549r412lkhddggyfx9mqdphs7-cmake-minimal-3.31.10/bin/cmake -E copy /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/himbaechel/uarch/gowin/chipdb-GW1N-4.bin /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/share/himbaechel/gowin/chipdb-GW1N-4.bin AutoMoc: Reading dependencies from "BIN:/ice40/gui/nextpnr-ice40-gui_autogen/EWIEGA46WW/moc_line_editor.cpp.d" AutoMoc: Generating "BIN:/ice40/gui/nextpnr-ice40-gui_autogen/EWIEGA46WW/moc_pyconsole.cpp", because it doesn't exist, from "SRC:/gui/pyconsole.h" /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/bin/moc -DARCHNAME=ice40 -DARCH_ICE40 -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DNO_RUST -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/ice40/gui -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui -I/gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/include/python3.11 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/ice40 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/frontend -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/json -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/QtPropertyBrowser/src -I/gnu/store/lkr00hf38xnc265pziwm68bpii3xdg8q-imgui-1.86/include/imgui -I/gnu/store/ny8visn9x0y1r3bfylrirfbjprg3nh15-qtimgui-0.0-0.48d64a7/include/qtimgui -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/python-console -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/python-console/modified -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/ice40 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/common -I/gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5 -I/gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtWidgets -I/gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtGui -I/gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtCore -I/gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/lib/qt5/mkspecs/linux-g++ -I/gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtOpenGL -I/gnu/store/f76qdd5i5aiyhii5vfg8inpcwgv81dyk-mesa-25.2.3/include -I/gnu/store/3702dz9kiacbldynjm02a61ni75p7hk6-pybind11-2.13.6/include -I/gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include -I/gnu/store/fjnqbp82xlk7grb1phi377ifvy2ag4lp-iverilog-12.0/include -I/gnu/store/8plnc3lpnfhyqyy4khcjzm7lhnvvnnw7-googletest-1.17.0/include -I/gnu/store/7ykml1rwb7dmgsic1nvcwf3f8sj4wg6g-bzip2-1.0.8/include -I/gnu/store/rnj26qklbw75sn0b74i802acshrijy66-file-5.46/include -I/gnu/store/5189xfjv3av3djx824k3vplzgam5yk1q-gawk-5.3.0/include -I/gnu/store/74kzd0881xh5b1b3cdq4pva26pywlpph-xz-5.4.5/include -I/gnu/store/mx9i9dnjx34lkx8k8r79876q2f5dclvr-make-4.4.1/include -I/gnu/store/x7x8y4c3ccn33sq7r9nb77y5d5mz3970-binutils-2.44/include -I/gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/include/c++ -I/gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/include -I/gnu/store/50jqiigxwa1s9xljqrl1wh85yncqnybr-glibc-2.41/include -I/gnu/store/4vxzslxx9fcvhcq0cqjr168shg8s1kwa-boost-1.83.0/include -I/gnu/store/r94kayv6y93mj1hgc13k11klha366dsw-eigen-3.4.0/include -I/gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/include -I/gnu/store/g34v9di9pw9d3p0z4gavygqp8197szky-qtwayland-5.15.17/include -I/gnu/store/ny8visn9x0y1r3bfylrirfbjprg3nh15-qtimgui-0.0-0.48d64a7/include -I/gnu/store/1zqziv4s5q2y8d3byhbzfz61hrpy4xrz-linux-libre-headers-6.12.17/include -I/gnu/store/lkr00hf38xnc265pziwm68bpii3xdg8q-imgui-1.86/include -I/gnu/store/52bc4k6kgp9cv4pinns4yfp5lagj8zqr-xorgproto-2024.1/include -I/gnu/store/9b6xbajrzba0jzvjpdw8sbnwk1iq6ja2-libxxf86vm-1.1.6/include -I/gnu/store/515l3riya9ffp834kd0fa0gn3xzqrdck-libxshmfence-1.3.3/include -I/gnu/store/mv71i3v40b2gqvqy1rfkc219cfihgwc0-libxfixes-6.0.1/include -I/gnu/store/4ab335v9nxqqfzvia87ccvgq33masz9a-libxdamage-1.1.6/include -I/gnu/store/vznnvmjy5q54gk0qvik9gi181li09wpf-libx11-1.8.12/include -I/gnu/store/dzbj2yv45vzrazlr6ywmzd828j4nx02p-libvdpau-1.5/include -I/gnu/store/56qrv5j1l2qfm1c4x0csidbprbq9ypcy-libdrm-2.4.124/include -I/gnu/store/1c41hrnadwb0a1af7n3skvj1kxznq29x-libxext-1.3.6/include -I/gnu/store/v60dfd1nbw4j0lk08ha0jfdmkin8xnpi-libxcb-1.17.0/include -I/gnu/store/v06qx4fqqy30fg4y3ls6p4c3g7wrmj89-libpciaccess-0.18.1/include -I/gnu/store/j461yp7q0sl48bbaj7f244aqycs3jwgl-libxdmcp-1.1.5/include -I/gnu/store/ywpvw7g27qcfr4clhdk2xxb5rijqfns3-libxau-1.0.12/include -I/gnu/store/rwwdavvfvn3d5nwwvmd4sf6bnw1qra88-zlib-1.3.1/include -I/gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/include/c++/aarch64-unknown-linux-gnu -I/gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/include/c++/backward -I/gnu/store/6ngp1bahsp96qmjnimvhf3hfi6abklsk-gcc-14.3.0-lib/lib/gcc/aarch64-unknown-linux-gnu/14.3.0/include -I/gnu/store/6ngp1bahsp96qmjnimvhf3hfi6abklsk-gcc-14.3.0-lib/lib/gcc/aarch64-unknown-linux-gnu/14.3.0/include-fixed --include /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/ice40/gui/nextpnr-ice40-gui_autogen/moc_predefs.h --output-dep-file -o /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/ice40/gui/nextpnr-ice40-gui_autogen/EWIEGA46WW/moc_pyconsole.cpp /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/pyconsole.h AutoMoc: Reading dependencies from "BIN:/generic/gui/nextpnr-generic-gui_autogen/EWIEGA46WW/moc_line_editor.cpp.d" AutoMoc: Generating "BIN:/generic/gui/nextpnr-generic-gui_autogen/EWIEGA46WW/moc_pyconsole.cpp", because it doesn't exist, from "SRC:/gui/pyconsole.h" /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/bin/moc -DARCHNAME=generic -DARCH_GENERIC -DNEXTPNR_NAMESPACE=nextpnr_generic -DNO_RUST -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/generic/gui -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui -I/gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/include/python3.11 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/generic -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/frontend -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/json -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/QtPropertyBrowser/src -I/gnu/store/lkr00hf38xnc265pziwm68bpii3xdg8q-imgui-1.86/include/imgui -I/gnu/store/ny8visn9x0y1r3bfylrirfbjprg3nh15-qtimgui-0.0-0.48d64a7/include/qtimgui -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/python-console -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/python-console/modified -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/generic -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/common -I/gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5 -I/gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtWidgets -I/gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtGui -I/gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtCore -I/gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/lib/qt5/mkspecs/linux-g++ -I/gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtOpenGL -I/gnu/store/f76qdd5i5aiyhii5vfg8inpcwgv81dyk-mesa-25.2.3/include -I/gnu/store/3702dz9kiacbldynjm02a61ni75p7hk6-pybind11-2.13.6/include -I/gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include -I/gnu/store/fjnqbp82xlk7grb1phi377ifvy2ag4lp-iverilog-12.0/include -I/gnu/store/8plnc3lpnfhyqyy4khcjzm7lhnvvnnw7-googletest-1.17.0/include -I/gnu/store/7ykml1rwb7dmgsic1nvcwf3f8sj4wg6g-bzip2-1.0.8/include -I/gnu/store/rnj26qklbw75sn0b74i802acshrijy66-file-5.46/include -I/gnu/store/5189xfjv3av3djx824k3vplzgam5yk1q-gawk-5.3.0/include -I/gnu/store/74kzd0881xh5b1b3cdq4pva26pywlpph-xz-5.4.5/include -I/gnu/store/mx9i9dnjx34lkx8k8r79876q2f5dclvr-make-4.4.1/include -I/gnu/store/x7x8y4c3ccn33sq7r9nb77y5d5mz3970-binutils-2.44/include -I/gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/include/c++ -I/gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/include -I/gnu/store/50jqiigxwa1s9xljqrl1wh85yncqnybr-glibc-2.41/include -I/gnu/store/4vxzslxx9fcvhcq0cqjr168shg8s1kwa-boost-1.83.0/include -I/gnu/store/r94kayv6y93mj1hgc13k11klha366dsw-eigen-3.4.0/include -I/gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/include -I/gnu/store/g34v9di9pw9d3p0z4gavygqp8197szky-qtwayland-5.15.17/include -I/gnu/store/ny8visn9x0y1r3bfylrirfbjprg3nh15-qtimgui-0.0-0.48d64a7/include -I/gnu/store/1zqziv4s5q2y8d3byhbzfz61hrpy4xrz-linux-libre-headers-6.12.17/include -I/gnu/store/lkr00hf38xnc265pziwm68bpii3xdg8q-imgui-1.86/include -I/gnu/store/52bc4k6kgp9cv4pinns4yfp5lagj8zqr-xorgproto-2024.1/include -I/gnu/store/9b6xbajrzba0jzvjpdw8sbnwk1iq6ja2-libxxf86vm-1.1.6/include -I/gnu/store/515l3riya9ffp834kd0fa0gn3xzqrdck-libxshmfence-1.3.3/include -I/gnu/store/mv71i3v40b2gqvqy1rfkc219cfihgwc0-libxfixes-6.0.1/include -I/gnu/store/4ab335v9nxqqfzvia87ccvgq33masz9a-libxdamage-1.1.6/include -I/gnu/store/vznnvmjy5q54gk0qvik9gi181li09wpf-libx11-1.8.12/include -I/gnu/store/dzbj2yv45vzrazlr6ywmzd828j4nx02p-libvdpau-1.5/include -I/gnu/store/56qrv5j1l2qfm1c4x0csidbprbq9ypcy-libdrm-2.4.124/include -I/gnu/store/1c41hrnadwb0a1af7n3skvj1kxznq29x-libxext-1.3.6/include -I/gnu/store/v60dfd1nbw4j0lk08ha0jfdmkin8xnpi-libxcb-1.17.0/include -I/gnu/store/v06qx4fqqy30fg4y3ls6p4c3g7wrmj89-libpciaccess-0.18.1/include -I/gnu/store/j461yp7q0sl48bbaj7f244aqycs3jwgl-libxdmcp-1.1.5/include -I/gnu/store/ywpvw7g27qcfr4clhdk2xxb5rijqfns3-libxau-1.0.12/include -I/gnu/store/rwwdavvfvn3d5nwwvmd4sf6bnw1qra88-zlib-1.3.1/include -I/gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/include/c++/aarch64-unknown-linux-gnu -I/gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/include/c++/backward -I/gnu/store/6ngp1bahsp96qmjnimvhf3hfi6abklsk-gcc-14.3.0-lib/lib/gcc/aarch64-unknown-linux-gnu/14.3.0/include -I/gnu/store/6ngp1bahsp96qmjnimvhf3hfi6abklsk-gcc-14.3.0-lib/lib/gcc/aarch64-unknown-linux-gnu/14.3.0/include-fixed --include /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/generic/gui/nextpnr-generic-gui_autogen/moc_predefs.h --output-dep-file -o /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/generic/gui/nextpnr-generic-gui_autogen/EWIEGA46WW/moc_pyconsole.cpp /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/pyconsole.h AutoMoc: Reading dependencies from "BIN:/ecp5/gui/nextpnr-ecp5-gui_autogen/EWIEGA46WW/moc_line_editor.cpp.d" AutoMoc: Generating "BIN:/ecp5/gui/nextpnr-ecp5-gui_autogen/EWIEGA46WW/moc_pyconsole.cpp", because it doesn't exist, from "SRC:/gui/pyconsole.h" /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/bin/moc -DARCHNAME=ecp5 -DARCH_ECP5 -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DNO_RUST -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/ecp5/gui -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui -I/gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/include/python3.11 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/ecp5 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/frontend -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/json -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/QtPropertyBrowser/src -I/gnu/store/lkr00hf38xnc265pziwm68bpii3xdg8q-imgui-1.86/include/imgui -I/gnu/store/ny8visn9x0y1r3bfylrirfbjprg3nh15-qtimgui-0.0-0.48d64a7/include/qtimgui -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/python-console -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/python-console/modified -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/ecp5 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/common -I/gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5 -I/gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtWidgets -I/gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtGui -I/gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtCore -I/gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/lib/qt5/mkspecs/linux-g++ -I/gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtOpenGL -I/gnu/store/f76qdd5i5aiyhii5vfg8inpcwgv81dyk-mesa-25.2.3/include -I/gnu/store/3702dz9kiacbldynjm02a61ni75p7hk6-pybind11-2.13.6/include -I/gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include -I/gnu/store/fjnqbp82xlk7grb1phi377ifvy2ag4lp-iverilog-12.0/include -I/gnu/store/8plnc3lpnfhyqyy4khcjzm7lhnvvnnw7-googletest-1.17.0/include -I/gnu/store/7ykml1rwb7dmgsic1nvcwf3f8sj4wg6g-bzip2-1.0.8/include -I/gnu/store/rnj26qklbw75sn0b74i802acshrijy66-file-5.46/include -I/gnu/store/5189xfjv3av3djx824k3vplzgam5yk1q-gawk-5.3.0/include -I/gnu/store/74kzd0881xh5b1b3cdq4pva26pywlpph-xz-5.4.5/include -I/gnu/store/mx9i9dnjx34lkx8k8r79876q2f5dclvr-make-4.4.1/include -I/gnu/store/x7x8y4c3ccn33sq7r9nb77y5d5mz3970-binutils-2.44/include -I/gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/include/c++ -I/gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/include -I/gnu/store/50jqiigxwa1s9xljqrl1wh85yncqnybr-glibc-2.41/include -I/gnu/store/4vxzslxx9fcvhcq0cqjr168shg8s1kwa-boost-1.83.0/include -I/gnu/store/r94kayv6y93mj1hgc13k11klha366dsw-eigen-3.4.0/include -I/gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/include -I/gnu/store/g34v9di9pw9d3p0z4gavygqp8197szky-qtwayland-5.15.17/include -I/gnu/store/ny8visn9x0y1r3bfylrirfbjprg3nh15-qtimgui-0.0-0.48d64a7/include -I/gnu/store/1zqziv4s5q2y8d3byhbzfz61hrpy4xrz-linux-libre-headers-6.12.17/include -I/gnu/store/lkr00hf38xnc265pziwm68bpii3xdg8q-imgui-1.86/include -I/gnu/store/52bc4k6kgp9cv4pinns4yfp5lagj8zqr-xorgproto-2024.1/include -I/gnu/store/9b6xbajrzba0jzvjpdw8sbnwk1iq6ja2-libxxf86vm-1.1.6/include -I/gnu/store/515l3riya9ffp834kd0fa0gn3xzqrdck-libxshmfence-1.3.3/include -I/gnu/store/mv71i3v40b2gqvqy1rfkc219cfihgwc0-libxfixes-6.0.1/include -I/gnu/store/4ab335v9nxqqfzvia87ccvgq33masz9a-libxdamage-1.1.6/include -I/gnu/store/vznnvmjy5q54gk0qvik9gi181li09wpf-libx11-1.8.12/include -I/gnu/store/dzbj2yv45vzrazlr6ywmzd828j4nx02p-libvdpau-1.5/include -I/gnu/store/56qrv5j1l2qfm1c4x0csidbprbq9ypcy-libdrm-2.4.124/include -I/gnu/store/1c41hrnadwb0a1af7n3skvj1kxznq29x-libxext-1.3.6/include -I/gnu/store/v60dfd1nbw4j0lk08ha0jfdmkin8xnpi-libxcb-1.17.0/include -I/gnu/store/v06qx4fqqy30fg4y3ls6p4c3g7wrmj89-libpciaccess-0.18.1/include -I/gnu/store/j461yp7q0sl48bbaj7f244aqycs3jwgl-libxdmcp-1.1.5/include -I/gnu/store/ywpvw7g27qcfr4clhdk2xxb5rijqfns3-libxau-1.0.12/include -I/gnu/store/rwwdavvfvn3d5nwwvmd4sf6bnw1qra88-zlib-1.3.1/include -I/gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/include/c++/aarch64-unknown-linux-gnu -I/gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/include/c++/backward -I/gnu/store/6ngp1bahsp96qmjnimvhf3hfi6abklsk-gcc-14.3.0-lib/lib/gcc/aarch64-unknown-linux-gnu/14.3.0/include -I/gnu/store/6ngp1bahsp96qmjnimvhf3hfi6abklsk-gcc-14.3.0-lib/lib/gcc/aarch64-unknown-linux-gnu/14.3.0/include-fixed --include /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/ecp5/gui/nextpnr-ecp5-gui_autogen/moc_predefs.h --output-dep-file -o /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/ecp5/gui/nextpnr-ecp5-gui_autogen/EWIEGA46WW/moc_pyconsole.cpp /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/pyconsole.h AutoMoc: Reading dependencies from "BIN:/himbaechel/uarch/ng-ultra/gui/nextpnr-himbaechel-ng-ultra-gui_autogen/EWIEGA46WW/moc_line_editor.cpp.d" AutoMoc: Reading dependencies from "BIN:/himbaechel/uarch/gowin/gui/nextpnr-himbaechel-gowin-gui_autogen/EWIEGA46WW/moc_line_editor.cpp.d" AutoMoc: Generating "BIN:/himbaechel/uarch/ng-ultra/gui/nextpnr-himbaechel-ng-ultra-gui_autogen/EWIEGA46WW/moc_pyconsole.cpp", because it doesn't exist, from "SRC:/gui/pyconsole.h" /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/bin/moc -DARCHNAME=himbaechel -DARCH_HIMBAECHEL -DNEXTPNR_NAMESPACE=nextpnr_himbaechel -DNO_RUST -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/himbaechel/uarch/ng-ultra/gui -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui -I/gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/include/python3.11 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/himbaechel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/frontend -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/json -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/QtPropertyBrowser/src -I/gnu/store/lkr00hf38xnc265pziwm68bpii3xdg8q-imgui-1.86/include/imgui -I/gnu/store/ny8visn9x0y1r3bfylrirfbjprg3nh15-qtimgui-0.0-0.48d64a7/include/qtimgui -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/python-console -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/python-console/modified -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/himbaechel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/common -I/gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5 -I/gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtWidgets -I/gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtGui -I/gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtCore -I/gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/lib/qt5/mkspecs/linux-g++ -I/gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtOpenGL -I/gnu/store/f76qdd5i5aiyhii5vfg8inpcwgv81dyk-mesa-25.2.3/include -I/gnu/store/3702dz9kiacbldynjm02a61ni75p7hk6-pybind11-2.13.6/include -I/gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include -I/gnu/store/fjnqbp82xlk7grb1phi377ifvy2ag4lp-iverilog-12.0/include -I/gnu/store/8plnc3lpnfhyqyy4khcjzm7lhnvvnnw7-googletest-1.17.0/include -I/gnu/store/7ykml1rwb7dmgsic1nvcwf3f8sj4wg6g-bzip2-1.0.8/include -I/gnu/store/rnj26qklbw75sn0b74i802acshrijy66-file-5.46/include -I/gnu/store/5189xfjv3av3djx824k3vplzgam5yk1q-gawk-5.3.0/include -I/gnu/store/74kzd0881xh5b1b3cdq4pva26pywlpph-xz-5.4.5/include -I/gnu/store/mx9i9dnjx34lkx8k8r79876q2f5dclvr-make-4.4.1/include -I/gnu/store/x7x8y4c3ccn33sq7r9nb77y5d5mz3970-binutils-2.44/include -I/gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/include/c++ -I/gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/include -I/gnu/store/50jqiigxwa1s9xljqrl1wh85yncqnybr-glibc-2.41/include -I/gnu/store/4vxzslxx9fcvhcq0cqjr168shg8s1kwa-boost-1.83.0/include -I/gnu/store/r94kayv6y93mj1hgc13k11klha366dsw-eigen-3.4.0/include -I/gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/include -I/gnu/store/g34v9di9pw9d3p0z4gavygqp8197szky-qtwayland-5.15.17/include -I/gnu/store/ny8visn9x0y1r3bfylrirfbjprg3nh15-qtimgui-0.0-0.48d64a7/include -I/gnu/store/1zqziv4s5q2y8d3byhbzfz61hrpy4xrz-linux-libre-headers-6.12.17/include -I/gnu/store/lkr00hf38xnc265pziwm68bpii3xdg8q-imgui-1.86/include -I/gnu/store/52bc4k6kgp9cv4pinns4yfp5lagj8zqr-xorgproto-2024.1/include -I/gnu/store/9b6xbajrzba0jzvjpdw8sbnwk1iq6ja2-libxxf86vm-1.1.6/include -I/gnu/store/515l3riya9ffp834kd0fa0gn3xzqrdck-libxshmfence-1.3.3/include -I/gnu/store/mv71i3v40b2gqvqy1rfkc219cfihgwc0-libxfixes-6.0.1/include -I/gnu/store/4ab335v9nxqqfzvia87ccvgq33masz9a-libxdamage-1.1.6/include -I/gnu/store/vznnvmjy5q54gk0qvik9gi181li09wpf-libx11-1.8.12/include -I/gnu/store/dzbj2yv45vzrazlr6ywmzd828j4nx02p-libvdpau-1.5/include -I/gnu/store/56qrv5j1l2qfm1c4x0csidbprbq9ypcy-libdrm-2.4.124/include -I/gnu/store/1c41hrnadwb0a1af7n3skvj1kxznq29x-libxext-1.3.6/include -I/gnu/store/v60dfd1nbw4j0lk08ha0jfdmkin8xnpi-libxcb-1.17.0/include -I/gnu/store/v06qx4fqqy30fg4y3ls6p4c3g7wrmj89-libpciaccess-0.18.1/include -I/gnu/store/j461yp7q0sl48bbaj7f244aqycs3jwgl-libxdmcp-1.1.5/include -I/gnu/store/ywpvw7g27qcfr4clhdk2xxb5rijqfns3-libxau-1.0.12/include -I/gnu/store/rwwdavvfvn3d5nwwvmd4sf6bnw1qra88-zlib-1.3.1/include -I/gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/include/c++/aarch64-unknown-linux-gnu -I/gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/include/c++/backward -I/gnu/store/6ngp1bahsp96qmjnimvhf3hfi6abklsk-gcc-14.3.0-lib/lib/gcc/aarch64-unknown-linux-gnu/14.3.0/include -I/gnu/store/6ngp1bahsp96qmjnimvhf3hfi6abklsk-gcc-14.3.0-lib/lib/gcc/aarch64-unknown-linux-gnu/14.3.0/include-fixed --include /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/himbaechel/uarch/ng-ultra/gui/nextpnr-himbaechel-ng-ultra-gui_autogen/moc_predefs.h --output-dep-file -o /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/himbaechel/uarch/ng-ultra/gui/nextpnr-himbaechel-ng-ultra-gui_autogen/EWIEGA46WW/moc_pyconsole.cpp /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/pyconsole.h AutoMoc: Generating "BIN:/himbaechel/uarch/gowin/gui/nextpnr-himbaechel-gowin-gui_autogen/EWIEGA46WW/moc_pyconsole.cpp", because it doesn't exist, from "SRC:/gui/pyconsole.h" /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/bin/moc -DARCHNAME=himbaechel -DARCH_HIMBAECHEL -DNEXTPNR_NAMESPACE=nextpnr_himbaechel -DNO_RUST -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/himbaechel/uarch/gowin/gui -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui -I/gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/include/python3.11 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/himbaechel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/frontend -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/json -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/QtPropertyBrowser/src -I/gnu/store/lkr00hf38xnc265pziwm68bpii3xdg8q-imgui-1.86/include/imgui -I/gnu/store/ny8visn9x0y1r3bfylrirfbjprg3nh15-qtimgui-0.0-0.48d64a7/include/qtimgui -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/python-console -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/python-console/modified -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/himbaechel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/common -I/gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5 -I/gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtWidgets -I/gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtGui -I/gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtCore -I/gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/lib/qt5/mkspecs/linux-g++ -I/gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtOpenGL -I/gnu/store/f76qdd5i5aiyhii5vfg8inpcwgv81dyk-mesa-25.2.3/include -I/gnu/store/3702dz9kiacbldynjm02a61ni75p7hk6-pybind11-2.13.6/include -I/gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include -I/gnu/store/fjnqbp82xlk7grb1phi377ifvy2ag4lp-iverilog-12.0/include -I/gnu/store/8plnc3lpnfhyqyy4khcjzm7lhnvvnnw7-googletest-1.17.0/include -I/gnu/store/7ykml1rwb7dmgsic1nvcwf3f8sj4wg6g-bzip2-1.0.8/include -I/gnu/store/rnj26qklbw75sn0b74i802acshrijy66-file-5.46/include -I/gnu/store/5189xfjv3av3djx824k3vplzgam5yk1q-gawk-5.3.0/include -I/gnu/store/74kzd0881xh5b1b3cdq4pva26pywlpph-xz-5.4.5/include -I/gnu/store/mx9i9dnjx34lkx8k8r79876q2f5dclvr-make-4.4.1/include -I/gnu/store/x7x8y4c3ccn33sq7r9nb77y5d5mz3970-binutils-2.44/include -I/gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/include/c++ -I/gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/include -I/gnu/store/50jqiigxwa1s9xljqrl1wh85yncqnybr-glibc-2.41/include -I/gnu/store/4vxzslxx9fcvhcq0cqjr168shg8s1kwa-boost-1.83.0/include -I/gnu/store/r94kayv6y93mj1hgc13k11klha366dsw-eigen-3.4.0/include -I/gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/include -I/gnu/store/g34v9di9pw9d3p0z4gavygqp8197szky-qtwayland-5.15.17/include -I/gnu/store/ny8visn9x0y1r3bfylrirfbjprg3nh15-qtimgui-0.0-0.48d64a7/include -I/gnu/store/1zqziv4s5q2y8d3byhbzfz61hrpy4xrz-linux-libre-headers-6.12.17/include -I/gnu/store/lkr00hf38xnc265pziwm68bpii3xdg8q-imgui-1.86/include -I/gnu/store/52bc4k6kgp9cv4pinns4yfp5lagj8zqr-xorgproto-2024.1/include -I/gnu/store/9b6xbajrzba0jzvjpdw8sbnwk1iq6ja2-libxxf86vm-1.1.6/include -I/gnu/store/515l3riya9ffp834kd0fa0gn3xzqrdck-libxshmfence-1.3.3/include -I/gnu/store/mv71i3v40b2gqvqy1rfkc219cfihgwc0-libxfixes-6.0.1/include -I/gnu/store/4ab335v9nxqqfzvia87ccvgq33masz9a-libxdamage-1.1.6/include -I/gnu/store/vznnvmjy5q54gk0qvik9gi181li09wpf-libx11-1.8.12/include -I/gnu/store/dzbj2yv45vzrazlr6ywmzd828j4nx02p-libvdpau-1.5/include -I/gnu/store/56qrv5j1l2qfm1c4x0csidbprbq9ypcy-libdrm-2.4.124/include -I/gnu/store/1c41hrnadwb0a1af7n3skvj1kxznq29x-libxext-1.3.6/include -I/gnu/store/v60dfd1nbw4j0lk08ha0jfdmkin8xnpi-libxcb-1.17.0/include -I/gnu/store/v06qx4fqqy30fg4y3ls6p4c3g7wrmj89-libpciaccess-0.18.1/include -I/gnu/store/j461yp7q0sl48bbaj7f244aqycs3jwgl-libxdmcp-1.1.5/include -I/gnu/store/ywpvw7g27qcfr4clhdk2xxb5rijqfns3-libxau-1.0.12/include -I/gnu/store/rwwdavvfvn3d5nwwvmd4sf6bnw1qra88-zlib-1.3.1/include -I/gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/include/c++/aarch64-unknown-linux-gnu -I/gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/include/c++/backward -I/gnu/store/6ngp1bahsp96qmjnimvhf3hfi6abklsk-gcc-14.3.0-lib/lib/gcc/aarch64-unknown-linux-gnu/14.3.0/include -I/gnu/store/6ngp1bahsp96qmjnimvhf3hfi6abklsk-gcc-14.3.0-lib/lib/gcc/aarch64-unknown-linux-gnu/14.3.0/include-fixed --include /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/himbaechel/uarch/gowin/gui/nextpnr-himbaechel-gowin-gui_autogen/moc_predefs.h --output-dep-file -o /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/himbaechel/uarch/gowin/gui/nextpnr-himbaechel-gowin-gui_autogen/EWIEGA46WW/moc_pyconsole.cpp /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/pyconsole.h AutoMoc: Reading dependencies from "BIN:/himbaechel/uarch/gatemate/gui/nextpnr-himbaechel-gatemate-gui_autogen/EWIEGA46WW/moc_line_editor.cpp.d" AutoMoc: Generating "BIN:/himbaechel/uarch/gatemate/gui/nextpnr-himbaechel-gatemate-gui_autogen/EWIEGA46WW/moc_pyconsole.cpp", because it doesn't exist, from "SRC:/gui/pyconsole.h" /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/bin/moc -DARCHNAME=himbaechel -DARCH_HIMBAECHEL -DNEXTPNR_NAMESPACE=nextpnr_himbaechel -DNO_RUST -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/himbaechel/uarch/gatemate/gui -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui -I/gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/include/python3.11 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/himbaechel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/frontend -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/json -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/QtPropertyBrowser/src -I/gnu/store/lkr00hf38xnc265pziwm68bpii3xdg8q-imgui-1.86/include/imgui -I/gnu/store/ny8visn9x0y1r3bfylrirfbjprg3nh15-qtimgui-0.0-0.48d64a7/include/qtimgui -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/python-console -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/python-console/modified -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/himbaechel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/common -I/gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5 -I/gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtWidgets -I/gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtGui -I/gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtCore -I/gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/lib/qt5/mkspecs/linux-g++ -I/gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtOpenGL -I/gnu/store/f76qdd5i5aiyhii5vfg8inpcwgv81dyk-mesa-25.2.3/include -I/gnu/store/3702dz9kiacbldynjm02a61ni75p7hk6-pybind11-2.13.6/include -I/gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include -I/gnu/store/fjnqbp82xlk7grb1phi377ifvy2ag4lp-iverilog-12.0/include -I/gnu/store/8plnc3lpnfhyqyy4khcjzm7lhnvvnnw7-googletest-1.17.0/include -I/gnu/store/7ykml1rwb7dmgsic1nvcwf3f8sj4wg6g-bzip2-1.0.8/include -I/gnu/store/rnj26qklbw75sn0b74i802acshrijy66-file-5.46/include -I/gnu/store/5189xfjv3av3djx824k3vplzgam5yk1q-gawk-5.3.0/include -I/gnu/store/74kzd0881xh5b1b3cdq4pva26pywlpph-xz-5.4.5/include -I/gnu/store/mx9i9dnjx34lkx8k8r79876q2f5dclvr-make-4.4.1/include -I/gnu/store/x7x8y4c3ccn33sq7r9nb77y5d5mz3970-binutils-2.44/include -I/gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/include/c++ -I/gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/include -I/gnu/store/50jqiigxwa1s9xljqrl1wh85yncqnybr-glibc-2.41/include -I/gnu/store/4vxzslxx9fcvhcq0cqjr168shg8s1kwa-boost-1.83.0/include -I/gnu/store/r94kayv6y93mj1hgc13k11klha366dsw-eigen-3.4.0/include -I/gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/include -I/gnu/store/g34v9di9pw9d3p0z4gavygqp8197szky-qtwayland-5.15.17/include -I/gnu/store/ny8visn9x0y1r3bfylrirfbjprg3nh15-qtimgui-0.0-0.48d64a7/include -I/gnu/store/1zqziv4s5q2y8d3byhbzfz61hrpy4xrz-linux-libre-headers-6.12.17/include -I/gnu/store/lkr00hf38xnc265pziwm68bpii3xdg8q-imgui-1.86/include -I/gnu/store/52bc4k6kgp9cv4pinns4yfp5lagj8zqr-xorgproto-2024.1/include -I/gnu/store/9b6xbajrzba0jzvjpdw8sbnwk1iq6ja2-libxxf86vm-1.1.6/include -I/gnu/store/515l3riya9ffp834kd0fa0gn3xzqrdck-libxshmfence-1.3.3/include -I/gnu/store/mv71i3v40b2gqvqy1rfkc219cfihgwc0-libxfixes-6.0.1/include -I/gnu/store/4ab335v9nxqqfzvia87ccvgq33masz9a-libxdamage-1.1.6/include -I/gnu/store/vznnvmjy5q54gk0qvik9gi181li09wpf-libx11-1.8.12/include -I/gnu/store/dzbj2yv45vzrazlr6ywmzd828j4nx02p-libvdpau-1.5/include -I/gnu/store/56qrv5j1l2qfm1c4x0csidbprbq9ypcy-libdrm-2.4.124/include -I/gnu/store/1c41hrnadwb0a1af7n3skvj1kxznq29x-libxext-1.3.6/include -I/gnu/store/v60dfd1nbw4j0lk08ha0jfdmkin8xnpi-libxcb-1.17.0/include -I/gnu/store/v06qx4fqqy30fg4y3ls6p4c3g7wrmj89-libpciaccess-0.18.1/include -I/gnu/store/j461yp7q0sl48bbaj7f244aqycs3jwgl-libxdmcp-1.1.5/include -I/gnu/store/ywpvw7g27qcfr4clhdk2xxb5rijqfns3-libxau-1.0.12/include -I/gnu/store/rwwdavvfvn3d5nwwvmd4sf6bnw1qra88-zlib-1.3.1/include -I/gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/include/c++/aarch64-unknown-linux-gnu -I/gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/include/c++/backward -I/gnu/store/6ngp1bahsp96qmjnimvhf3hfi6abklsk-gcc-14.3.0-lib/lib/gcc/aarch64-unknown-linux-gnu/14.3.0/include -I/gnu/store/6ngp1bahsp96qmjnimvhf3hfi6abklsk-gcc-14.3.0-lib/lib/gcc/aarch64-unknown-linux-gnu/14.3.0/include-fixed --include /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/himbaechel/uarch/gatemate/gui/nextpnr-himbaechel-gatemate-gui_autogen/moc_predefs.h --output-dep-file -o /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/himbaechel/uarch/gatemate/gui/nextpnr-himbaechel-gatemate-gui_autogen/EWIEGA46WW/moc_pyconsole.cpp /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/pyconsole.h cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/himbaechel/uarch/gowin && /gnu/store/d5c8i3b549r412lkhddggyfx9mqdphs7-cmake-minimal-3.31.10/bin/cmake -E make_directory /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/share/himbaechel/gowin cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/himbaechel/uarch/gowin && /gnu/store/d5c8i3b549r412lkhddggyfx9mqdphs7-cmake-minimal-3.31.10/bin/cmake -E copy /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/himbaechel/uarch/gowin/chipdb-GW1NS-4.bin /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/share/himbaechel/gowin/chipdb-GW1NS-4.bin AutoMoc: Reading dependencies from "BIN:/ice40/gui/nextpnr-ice40-gui_autogen/EWIEGA46WW/moc_pyconsole.cpp.d" AutoMoc: Generating "BIN:/ice40/gui/nextpnr-ice40-gui_autogen/EWIEGA46WW/moc_pythontab.cpp", because it doesn't exist, from "SRC:/gui/pythontab.h" /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/bin/moc -DARCHNAME=ice40 -DARCH_ICE40 -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DNO_RUST -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/ice40/gui -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui -I/gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/include/python3.11 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/ice40 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/frontend -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/json -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/QtPropertyBrowser/src -I/gnu/store/lkr00hf38xnc265pziwm68bpii3xdg8q-imgui-1.86/include/imgui -I/gnu/store/ny8visn9x0y1r3bfylrirfbjprg3nh15-qtimgui-0.0-0.48d64a7/include/qtimgui -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/python-console -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/python-console/modified -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/ice40 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/common -I/gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5 -I/gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtWidgets -I/gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtGui -I/gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtCore -I/gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/lib/qt5/mkspecs/linux-g++ -I/gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtOpenGL -I/gnu/store/f76qdd5i5aiyhii5vfg8inpcwgv81dyk-mesa-25.2.3/include -I/gnu/store/3702dz9kiacbldynjm02a61ni75p7hk6-pybind11-2.13.6/include -I/gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include -I/gnu/store/fjnqbp82xlk7grb1phi377ifvy2ag4lp-iverilog-12.0/include -I/gnu/store/8plnc3lpnfhyqyy4khcjzm7lhnvvnnw7-googletest-1.17.0/include -I/gnu/store/7ykml1rwb7dmgsic1nvcwf3f8sj4wg6g-bzip2-1.0.8/include -I/gnu/store/rnj26qklbw75sn0b74i802acshrijy66-file-5.46/include -I/gnu/store/5189xfjv3av3djx824k3vplzgam5yk1q-gawk-5.3.0/include -I/gnu/store/74kzd0881xh5b1b3cdq4pva26pywlpph-xz-5.4.5/include -I/gnu/store/mx9i9dnjx34lkx8k8r79876q2f5dclvr-make-4.4.1/include -I/gnu/store/x7x8y4c3ccn33sq7r9nb77y5d5mz3970-binutils-2.44/include -I/gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/include/c++ -I/gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/include -I/gnu/store/50jqiigxwa1s9xljqrl1wh85yncqnybr-glibc-2.41/include -I/gnu/store/4vxzslxx9fcvhcq0cqjr168shg8s1kwa-boost-1.83.0/include -I/gnu/store/r94kayv6y93mj1hgc13k11klha366dsw-eigen-3.4.0/include -I/gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/include -I/gnu/store/g34v9di9pw9d3p0z4gavygqp8197szky-qtwayland-5.15.17/include -I/gnu/store/ny8visn9x0y1r3bfylrirfbjprg3nh15-qtimgui-0.0-0.48d64a7/include -I/gnu/store/1zqziv4s5q2y8d3byhbzfz61hrpy4xrz-linux-libre-headers-6.12.17/include -I/gnu/store/lkr00hf38xnc265pziwm68bpii3xdg8q-imgui-1.86/include -I/gnu/store/52bc4k6kgp9cv4pinns4yfp5lagj8zqr-xorgproto-2024.1/include -I/gnu/store/9b6xbajrzba0jzvjpdw8sbnwk1iq6ja2-libxxf86vm-1.1.6/include -I/gnu/store/515l3riya9ffp834kd0fa0gn3xzqrdck-libxshmfence-1.3.3/include -I/gnu/store/mv71i3v40b2gqvqy1rfkc219cfihgwc0-libxfixes-6.0.1/include -I/gnu/store/4ab335v9nxqqfzvia87ccvgq33masz9a-libxdamage-1.1.6/include -I/gnu/store/vznnvmjy5q54gk0qvik9gi181li09wpf-libx11-1.8.12/include -I/gnu/store/dzbj2yv45vzrazlr6ywmzd828j4nx02p-libvdpau-1.5/include -I/gnu/store/56qrv5j1l2qfm1c4x0csidbprbq9ypcy-libdrm-2.4.124/include -I/gnu/store/1c41hrnadwb0a1af7n3skvj1kxznq29x-libxext-1.3.6/include -I/gnu/store/v60dfd1nbw4j0lk08ha0jfdmkin8xnpi-libxcb-1.17.0/include -I/gnu/store/v06qx4fqqy30fg4y3ls6p4c3g7wrmj89-libpciaccess-0.18.1/include -I/gnu/store/j461yp7q0sl48bbaj7f244aqycs3jwgl-libxdmcp-1.1.5/include -I/gnu/store/ywpvw7g27qcfr4clhdk2xxb5rijqfns3-libxau-1.0.12/include -I/gnu/store/rwwdavvfvn3d5nwwvmd4sf6bnw1qra88-zlib-1.3.1/include -I/gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/include/c++/aarch64-unknown-linux-gnu -I/gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/include/c++/backward -I/gnu/store/6ngp1bahsp96qmjnimvhf3hfi6abklsk-gcc-14.3.0-lib/lib/gcc/aarch64-unknown-linux-gnu/14.3.0/include -I/gnu/store/6ngp1bahsp96qmjnimvhf3hfi6abklsk-gcc-14.3.0-lib/lib/gcc/aarch64-unknown-linux-gnu/14.3.0/include-fixed --include /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/ice40/gui/nextpnr-ice40-gui_autogen/moc_predefs.h --output-dep-file -o /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/ice40/gui/nextpnr-ice40-gui_autogen/EWIEGA46WW/moc_pythontab.cpp /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/pythontab.h AutoMoc: Reading dependencies from "BIN:/generic/gui/nextpnr-generic-gui_autogen/EWIEGA46WW/moc_pyconsole.cpp.d" AutoMoc: Generating "BIN:/generic/gui/nextpnr-generic-gui_autogen/EWIEGA46WW/moc_pythontab.cpp", because it doesn't exist, from "SRC:/gui/pythontab.h" /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/bin/moc -DARCHNAME=generic -DARCH_GENERIC -DNEXTPNR_NAMESPACE=nextpnr_generic -DNO_RUST -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/generic/gui -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui -I/gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/include/python3.11 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/generic -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/frontend -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/json -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/QtPropertyBrowser/src -I/gnu/store/lkr00hf38xnc265pziwm68bpii3xdg8q-imgui-1.86/include/imgui -I/gnu/store/ny8visn9x0y1r3bfylrirfbjprg3nh15-qtimgui-0.0-0.48d64a7/include/qtimgui -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/python-console -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/python-console/modified -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/generic -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/common -I/gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5 -I/gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtWidgets -I/gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtGui -I/gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtCore -I/gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/lib/qt5/mkspecs/linux-g++ -I/gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtOpenGL -I/gnu/store/f76qdd5i5aiyhii5vfg8inpcwgv81dyk-mesa-25.2.3/include -I/gnu/store/3702dz9kiacbldynjm02a61ni75p7hk6-pybind11-2.13.6/include -I/gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include -I/gnu/store/fjnqbp82xlk7grb1phi377ifvy2ag4lp-iverilog-12.0/include -I/gnu/store/8plnc3lpnfhyqyy4khcjzm7lhnvvnnw7-googletest-1.17.0/include -I/gnu/store/7ykml1rwb7dmgsic1nvcwf3f8sj4wg6g-bzip2-1.0.8/include -I/gnu/store/rnj26qklbw75sn0b74i802acshrijy66-file-5.46/include -I/gnu/store/5189xfjv3av3djx824k3vplzgam5yk1q-gawk-5.3.0/include -I/gnu/store/74kzd0881xh5b1b3cdq4pva26pywlpph-xz-5.4.5/include -I/gnu/store/mx9i9dnjx34lkx8k8r79876q2f5dclvr-make-4.4.1/include -I/gnu/store/x7x8y4c3ccn33sq7r9nb77y5d5mz3970-binutils-2.44/include -I/gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/include/c++ -I/gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/include -I/gnu/store/50jqiigxwa1s9xljqrl1wh85yncqnybr-glibc-2.41/include -I/gnu/store/4vxzslxx9fcvhcq0cqjr168shg8s1kwa-boost-1.83.0/include -I/gnu/store/r94kayv6y93mj1hgc13k11klha366dsw-eigen-3.4.0/include -I/gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/include -I/gnu/store/g34v9di9pw9d3p0z4gavygqp8197szky-qtwayland-5.15.17/include -I/gnu/store/ny8visn9x0y1r3bfylrirfbjprg3nh15-qtimgui-0.0-0.48d64a7/include -I/gnu/store/1zqziv4s5q2y8d3byhbzfz61hrpy4xrz-linux-libre-headers-6.12.17/include -I/gnu/store/lkr00hf38xnc265pziwm68bpii3xdg8q-imgui-1.86/include -I/gnu/store/52bc4k6kgp9cv4pinns4yfp5lagj8zqr-xorgproto-2024.1/include -I/gnu/store/9b6xbajrzba0jzvjpdw8sbnwk1iq6ja2-libxxf86vm-1.1.6/include -I/gnu/store/515l3riya9ffp834kd0fa0gn3xzqrdck-libxshmfence-1.3.3/include -I/gnu/store/mv71i3v40b2gqvqy1rfkc219cfihgwc0-libxfixes-6.0.1/include -I/gnu/store/4ab335v9nxqqfzvia87ccvgq33masz9a-libxdamage-1.1.6/include -I/gnu/store/vznnvmjy5q54gk0qvik9gi181li09wpf-libx11-1.8.12/include -I/gnu/store/dzbj2yv45vzrazlr6ywmzd828j4nx02p-libvdpau-1.5/include -I/gnu/store/56qrv5j1l2qfm1c4x0csidbprbq9ypcy-libdrm-2.4.124/include -I/gnu/store/1c41hrnadwb0a1af7n3skvj1kxznq29x-libxext-1.3.6/include -I/gnu/store/v60dfd1nbw4j0lk08ha0jfdmkin8xnpi-libxcb-1.17.0/include -I/gnu/store/v06qx4fqqy30fg4y3ls6p4c3g7wrmj89-libpciaccess-0.18.1/include -I/gnu/store/j461yp7q0sl48bbaj7f244aqycs3jwgl-libxdmcp-1.1.5/include -I/gnu/store/ywpvw7g27qcfr4clhdk2xxb5rijqfns3-libxau-1.0.12/include -I/gnu/store/rwwdavvfvn3d5nwwvmd4sf6bnw1qra88-zlib-1.3.1/include -I/gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/include/c++/aarch64-unknown-linux-gnu -I/gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/include/c++/backward -I/gnu/store/6ngp1bahsp96qmjnimvhf3hfi6abklsk-gcc-14.3.0-lib/lib/gcc/aarch64-unknown-linux-gnu/14.3.0/include -I/gnu/store/6ngp1bahsp96qmjnimvhf3hfi6abklsk-gcc-14.3.0-lib/lib/gcc/aarch64-unknown-linux-gnu/14.3.0/include-fixed --include /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/generic/gui/nextpnr-generic-gui_autogen/moc_predefs.h --output-dep-file -o /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/generic/gui/nextpnr-generic-gui_autogen/EWIEGA46WW/moc_pythontab.cpp /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/pythontab.h AutoMoc: Reading dependencies from "BIN:/ecp5/gui/nextpnr-ecp5-gui_autogen/EWIEGA46WW/moc_pyconsole.cpp.d" AutoMoc: Generating "BIN:/ecp5/gui/nextpnr-ecp5-gui_autogen/EWIEGA46WW/moc_pythontab.cpp", because it doesn't exist, from "SRC:/gui/pythontab.h" /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/bin/moc -DARCHNAME=ecp5 -DARCH_ECP5 -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DNO_RUST -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/ecp5/gui -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui -I/gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/include/python3.11 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/ecp5 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/frontend -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/json -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/QtPropertyBrowser/src -I/gnu/store/lkr00hf38xnc265pziwm68bpii3xdg8q-imgui-1.86/include/imgui -I/gnu/store/ny8visn9x0y1r3bfylrirfbjprg3nh15-qtimgui-0.0-0.48d64a7/include/qtimgui -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/python-console -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/python-console/modified -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/ecp5 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/common -I/gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5 -I/gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtWidgets -I/gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtGui -I/gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtCore -I/gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/lib/qt5/mkspecs/linux-g++ -I/gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtOpenGL -I/gnu/store/f76qdd5i5aiyhii5vfg8inpcwgv81dyk-mesa-25.2.3/include -I/gnu/store/3702dz9kiacbldynjm02a61ni75p7hk6-pybind11-2.13.6/include -I/gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include -I/gnu/store/fjnqbp82xlk7grb1phi377ifvy2ag4lp-iverilog-12.0/include -I/gnu/store/8plnc3lpnfhyqyy4khcjzm7lhnvvnnw7-googletest-1.17.0/include -I/gnu/store/7ykml1rwb7dmgsic1nvcwf3f8sj4wg6g-bzip2-1.0.8/include -I/gnu/store/rnj26qklbw75sn0b74i802acshrijy66-file-5.46/include -I/gnu/store/5189xfjv3av3djx824k3vplzgam5yk1q-gawk-5.3.0/include -I/gnu/store/74kzd0881xh5b1b3cdq4pva26pywlpph-xz-5.4.5/include -I/gnu/store/mx9i9dnjx34lkx8k8r79876q2f5dclvr-make-4.4.1/include -I/gnu/store/x7x8y4c3ccn33sq7r9nb77y5d5mz3970-binutils-2.44/include -I/gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/include/c++ -I/gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/include -I/gnu/store/50jqiigxwa1s9xljqrl1wh85yncqnybr-glibc-2.41/include -I/gnu/store/4vxzslxx9fcvhcq0cqjr168shg8s1kwa-boost-1.83.0/include -I/gnu/store/r94kayv6y93mj1hgc13k11klha366dsw-eigen-3.4.0/include -I/gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/include -I/gnu/store/g34v9di9pw9d3p0z4gavygqp8197szky-qtwayland-5.15.17/include -I/gnu/store/ny8visn9x0y1r3bfylrirfbjprg3nh15-qtimgui-0.0-0.48d64a7/include -I/gnu/store/1zqziv4s5q2y8d3byhbzfz61hrpy4xrz-linux-libre-headers-6.12.17/include -I/gnu/store/lkr00hf38xnc265pziwm68bpii3xdg8q-imgui-1.86/include -I/gnu/store/52bc4k6kgp9cv4pinns4yfp5lagj8zqr-xorgproto-2024.1/include -I/gnu/store/9b6xbajrzba0jzvjpdw8sbnwk1iq6ja2-libxxf86vm-1.1.6/include -I/gnu/store/515l3riya9ffp834kd0fa0gn3xzqrdck-libxshmfence-1.3.3/include -I/gnu/store/mv71i3v40b2gqvqy1rfkc219cfihgwc0-libxfixes-6.0.1/include -I/gnu/store/4ab335v9nxqqfzvia87ccvgq33masz9a-libxdamage-1.1.6/include -I/gnu/store/vznnvmjy5q54gk0qvik9gi181li09wpf-libx11-1.8.12/include -I/gnu/store/dzbj2yv45vzrazlr6ywmzd828j4nx02p-libvdpau-1.5/include -I/gnu/store/56qrv5j1l2qfm1c4x0csidbprbq9ypcy-libdrm-2.4.124/include -I/gnu/store/1c41hrnadwb0a1af7n3skvj1kxznq29x-libxext-1.3.6/include -I/gnu/store/v60dfd1nbw4j0lk08ha0jfdmkin8xnpi-libxcb-1.17.0/include -I/gnu/store/v06qx4fqqy30fg4y3ls6p4c3g7wrmj89-libpciaccess-0.18.1/include -I/gnu/store/j461yp7q0sl48bbaj7f244aqycs3jwgl-libxdmcp-1.1.5/include -I/gnu/store/ywpvw7g27qcfr4clhdk2xxb5rijqfns3-libxau-1.0.12/include -I/gnu/store/rwwdavvfvn3d5nwwvmd4sf6bnw1qra88-zlib-1.3.1/include -I/gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/include/c++/aarch64-unknown-linux-gnu -I/gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/include/c++/backward -I/gnu/store/6ngp1bahsp96qmjnimvhf3hfi6abklsk-gcc-14.3.0-lib/lib/gcc/aarch64-unknown-linux-gnu/14.3.0/include -I/gnu/store/6ngp1bahsp96qmjnimvhf3hfi6abklsk-gcc-14.3.0-lib/lib/gcc/aarch64-unknown-linux-gnu/14.3.0/include-fixed --include /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/ecp5/gui/nextpnr-ecp5-gui_autogen/moc_predefs.h --output-dep-file -o /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/ecp5/gui/nextpnr-ecp5-gui_autogen/EWIEGA46WW/moc_pythontab.cpp /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/pythontab.h AutoMoc: Reading dependencies from "BIN:/himbaechel/uarch/gowin/gui/nextpnr-himbaechel-gowin-gui_autogen/EWIEGA46WW/moc_pyconsole.cpp.d" AutoMoc: Reading dependencies from "BIN:/himbaechel/uarch/ng-ultra/gui/nextpnr-himbaechel-ng-ultra-gui_autogen/EWIEGA46WW/moc_pyconsole.cpp.d" AutoMoc: Generating "BIN:/himbaechel/uarch/ng-ultra/gui/nextpnr-himbaechel-ng-ultra-gui_autogen/EWIEGA46WW/moc_pythontab.cpp", because it doesn't exist, from "SRC:/gui/pythontab.h" /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/bin/moc -DARCHNAME=himbaechel -DARCH_HIMBAECHEL -DNEXTPNR_NAMESPACE=nextpnr_himbaechel -DNO_RUST -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/himbaechel/uarch/ng-ultra/gui -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui -I/gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/include/python3.11 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/himbaechel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/frontend -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/json -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/QtPropertyBrowser/src -I/gnu/store/lkr00hf38xnc265pziwm68bpii3xdg8q-imgui-1.86/include/imgui -I/gnu/store/ny8visn9x0y1r3bfylrirfbjprg3nh15-qtimgui-0.0-0.48d64a7/include/qtimgui -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/python-console -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/python-console/modified -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/himbaechel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/common -I/gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5 -I/gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtWidgets -I/gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtGui -I/gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtCore -I/gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/lib/qt5/mkspecs/linux-g++ -I/gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtOpenGL -I/gnu/store/f76qdd5i5aiyhii5vfg8inpcwgv81dyk-mesa-25.2.3/include -I/gnu/store/3702dz9kiacbldynjm02a61ni75p7hk6-pybind11-2.13.6/include -I/gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include -I/gnu/store/fjnqbp82xlk7grb1phi377ifvy2ag4lp-iverilog-12.0/include -I/gnu/store/8plnc3lpnfhyqyy4khcjzm7lhnvvnnw7-googletest-1.17.0/include -I/gnu/store/7ykml1rwb7dmgsic1nvcwf3f8sj4wg6g-bzip2-1.0.8/include -I/gnu/store/rnj26qklbw75sn0b74i802acshrijy66-file-5.46/include -I/gnu/store/5189xfjv3av3djx824k3vplzgam5yk1q-gawk-5.3.0/include -I/gnu/store/74kzd0881xh5b1b3cdq4pva26pywlpph-xz-5.4.5/include -I/gnu/store/mx9i9dnjx34lkx8k8r79876q2f5dclvr-make-4.4.1/include -I/gnu/store/x7x8y4c3ccn33sq7r9nb77y5d5mz3970-binutils-2.44/include -I/gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/include/c++ -I/gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/include -I/gnu/store/50jqiigxwa1s9xljqrl1wh85yncqnybr-glibc-2.41/include -I/gnu/store/4vxzslxx9fcvhcq0cqjr168shg8s1kwa-boost-1.83.0/include -I/gnu/store/r94kayv6y93mj1hgc13k11klha366dsw-eigen-3.4.0/include -I/gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/include -I/gnu/store/g34v9di9pw9d3p0z4gavygqp8197szky-qtwayland-5.15.17/include -I/gnu/store/ny8visn9x0y1r3bfylrirfbjprg3nh15-qtimgui-0.0-0.48d64a7/include -I/gnu/store/1zqziv4s5q2y8d3byhbzfz61hrpy4xrz-linux-libre-headers-6.12.17/include -I/gnu/store/lkr00hf38xnc265pziwm68bpii3xdg8q-imgui-1.86/include -I/gnu/store/52bc4k6kgp9cv4pinns4yfp5lagj8zqr-xorgproto-2024.1/include -I/gnu/store/9b6xbajrzba0jzvjpdw8sbnwk1iq6ja2-libxxf86vm-1.1.6/include -I/gnu/store/515l3riya9ffp834kd0fa0gn3xzqrdck-libxshmfence-1.3.3/include -I/gnu/store/mv71i3v40b2gqvqy1rfkc219cfihgwc0-libxfixes-6.0.1/include -I/gnu/store/4ab335v9nxqqfzvia87ccvgq33masz9a-libxdamage-1.1.6/include -I/gnu/store/vznnvmjy5q54gk0qvik9gi181li09wpf-libx11-1.8.12/include -I/gnu/store/dzbj2yv45vzrazlr6ywmzd828j4nx02p-libvdpau-1.5/include -I/gnu/store/56qrv5j1l2qfm1c4x0csidbprbq9ypcy-libdrm-2.4.124/include -I/gnu/store/1c41hrnadwb0a1af7n3skvj1kxznq29x-libxext-1.3.6/include -I/gnu/store/v60dfd1nbw4j0lk08ha0jfdmkin8xnpi-libxcb-1.17.0/include -I/gnu/store/v06qx4fqqy30fg4y3ls6p4c3g7wrmj89-libpciaccess-0.18.1/include -I/gnu/store/j461yp7q0sl48bbaj7f244aqycs3jwgl-libxdmcp-1.1.5/include -I/gnu/store/ywpvw7g27qcfr4clhdk2xxb5rijqfns3-libxau-1.0.12/include -I/gnu/store/rwwdavvfvn3d5nwwvmd4sf6bnw1qra88-zlib-1.3.1/include -I/gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/include/c++/aarch64-unknown-linux-gnu -I/gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/include/c++/backward -I/gnu/store/6ngp1bahsp96qmjnimvhf3hfi6abklsk-gcc-14.3.0-lib/lib/gcc/aarch64-unknown-linux-gnu/14.3.0/include -I/gnu/store/6ngp1bahsp96qmjnimvhf3hfi6abklsk-gcc-14.3.0-lib/lib/gcc/aarch64-unknown-linux-gnu/14.3.0/include-fixed --include /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/himbaechel/uarch/ng-ultra/gui/nextpnr-himbaechel-ng-ultra-gui_autogen/moc_predefs.h --output-dep-file -o /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/himbaechel/uarch/ng-ultra/gui/nextpnr-himbaechel-ng-ultra-gui_autogen/EWIEGA46WW/moc_pythontab.cpp /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/pythontab.h AutoMoc: Generating "BIN:/himbaechel/uarch/gowin/gui/nextpnr-himbaechel-gowin-gui_autogen/EWIEGA46WW/moc_pythontab.cpp", because it doesn't exist, from "SRC:/gui/pythontab.h" /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/bin/moc -DARCHNAME=himbaechel -DARCH_HIMBAECHEL -DNEXTPNR_NAMESPACE=nextpnr_himbaechel -DNO_RUST -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/himbaechel/uarch/gowin/gui -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui -I/gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/include/python3.11 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/himbaechel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/frontend -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/json -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/QtPropertyBrowser/src -I/gnu/store/lkr00hf38xnc265pziwm68bpii3xdg8q-imgui-1.86/include/imgui -I/gnu/store/ny8visn9x0y1r3bfylrirfbjprg3nh15-qtimgui-0.0-0.48d64a7/include/qtimgui -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/python-console -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/python-console/modified -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/himbaechel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/common -I/gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5 -I/gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtWidgets -I/gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtGui -I/gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtCore -I/gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/lib/qt5/mkspecs/linux-g++ -I/gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtOpenGL -I/gnu/store/f76qdd5i5aiyhii5vfg8inpcwgv81dyk-mesa-25.2.3/include -I/gnu/store/3702dz9kiacbldynjm02a61ni75p7hk6-pybind11-2.13.6/include -I/gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include -I/gnu/store/fjnqbp82xlk7grb1phi377ifvy2ag4lp-iverilog-12.0/include -I/gnu/store/8plnc3lpnfhyqyy4khcjzm7lhnvvnnw7-googletest-1.17.0/include -I/gnu/store/7ykml1rwb7dmgsic1nvcwf3f8sj4wg6g-bzip2-1.0.8/include -I/gnu/store/rnj26qklbw75sn0b74i802acshrijy66-file-5.46/include -I/gnu/store/5189xfjv3av3djx824k3vplzgam5yk1q-gawk-5.3.0/include -I/gnu/store/74kzd0881xh5b1b3cdq4pva26pywlpph-xz-5.4.5/include -I/gnu/store/mx9i9dnjx34lkx8k8r79876q2f5dclvr-make-4.4.1/include -I/gnu/store/x7x8y4c3ccn33sq7r9nb77y5d5mz3970-binutils-2.44/include -I/gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/include/c++ -I/gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/include -I/gnu/store/50jqiigxwa1s9xljqrl1wh85yncqnybr-glibc-2.41/include -I/gnu/store/4vxzslxx9fcvhcq0cqjr168shg8s1kwa-boost-1.83.0/include -I/gnu/store/r94kayv6y93mj1hgc13k11klha366dsw-eigen-3.4.0/include -I/gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/include -I/gnu/store/g34v9di9pw9d3p0z4gavygqp8197szky-qtwayland-5.15.17/include -I/gnu/store/ny8visn9x0y1r3bfylrirfbjprg3nh15-qtimgui-0.0-0.48d64a7/include -I/gnu/store/1zqziv4s5q2y8d3byhbzfz61hrpy4xrz-linux-libre-headers-6.12.17/include -I/gnu/store/lkr00hf38xnc265pziwm68bpii3xdg8q-imgui-1.86/include -I/gnu/store/52bc4k6kgp9cv4pinns4yfp5lagj8zqr-xorgproto-2024.1/include -I/gnu/store/9b6xbajrzba0jzvjpdw8sbnwk1iq6ja2-libxxf86vm-1.1.6/include -I/gnu/store/515l3riya9ffp834kd0fa0gn3xzqrdck-libxshmfence-1.3.3/include -I/gnu/store/mv71i3v40b2gqvqy1rfkc219cfihgwc0-libxfixes-6.0.1/include -I/gnu/store/4ab335v9nxqqfzvia87ccvgq33masz9a-libxdamage-1.1.6/include -I/gnu/store/vznnvmjy5q54gk0qvik9gi181li09wpf-libx11-1.8.12/include -I/gnu/store/dzbj2yv45vzrazlr6ywmzd828j4nx02p-libvdpau-1.5/include -I/gnu/store/56qrv5j1l2qfm1c4x0csidbprbq9ypcy-libdrm-2.4.124/include -I/gnu/store/1c41hrnadwb0a1af7n3skvj1kxznq29x-libxext-1.3.6/include -I/gnu/store/v60dfd1nbw4j0lk08ha0jfdmkin8xnpi-libxcb-1.17.0/include -I/gnu/store/v06qx4fqqy30fg4y3ls6p4c3g7wrmj89-libpciaccess-0.18.1/include -I/gnu/store/j461yp7q0sl48bbaj7f244aqycs3jwgl-libxdmcp-1.1.5/include -I/gnu/store/ywpvw7g27qcfr4clhdk2xxb5rijqfns3-libxau-1.0.12/include -I/gnu/store/rwwdavvfvn3d5nwwvmd4sf6bnw1qra88-zlib-1.3.1/include -I/gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/include/c++/aarch64-unknown-linux-gnu -I/gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/include/c++/backward -I/gnu/store/6ngp1bahsp96qmjnimvhf3hfi6abklsk-gcc-14.3.0-lib/lib/gcc/aarch64-unknown-linux-gnu/14.3.0/include -I/gnu/store/6ngp1bahsp96qmjnimvhf3hfi6abklsk-gcc-14.3.0-lib/lib/gcc/aarch64-unknown-linux-gnu/14.3.0/include-fixed --include /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/himbaechel/uarch/gowin/gui/nextpnr-himbaechel-gowin-gui_autogen/moc_predefs.h --output-dep-file -o /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/himbaechel/uarch/gowin/gui/nextpnr-himbaechel-gowin-gui_autogen/EWIEGA46WW/moc_pythontab.cpp /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/pythontab.h AutoMoc: Reading dependencies from "BIN:/himbaechel/uarch/gatemate/gui/nextpnr-himbaechel-gatemate-gui_autogen/EWIEGA46WW/moc_pyconsole.cpp.d" AutoMoc: Generating "BIN:/himbaechel/uarch/gatemate/gui/nextpnr-himbaechel-gatemate-gui_autogen/EWIEGA46WW/moc_pythontab.cpp", because it doesn't exist, from "SRC:/gui/pythontab.h" /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/bin/moc -DARCHNAME=himbaechel -DARCH_HIMBAECHEL -DNEXTPNR_NAMESPACE=nextpnr_himbaechel -DNO_RUST -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/himbaechel/uarch/gatemate/gui -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui -I/gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/include/python3.11 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/himbaechel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/frontend -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/json -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/QtPropertyBrowser/src -I/gnu/store/lkr00hf38xnc265pziwm68bpii3xdg8q-imgui-1.86/include/imgui -I/gnu/store/ny8visn9x0y1r3bfylrirfbjprg3nh15-qtimgui-0.0-0.48d64a7/include/qtimgui -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/python-console -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/python-console/modified -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/himbaechel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/common -I/gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5 -I/gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtWidgets -I/gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtGui -I/gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtCore -I/gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/lib/qt5/mkspecs/linux-g++ -I/gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtOpenGL -I/gnu/store/f76qdd5i5aiyhii5vfg8inpcwgv81dyk-mesa-25.2.3/include -I/gnu/store/3702dz9kiacbldynjm02a61ni75p7hk6-pybind11-2.13.6/include -I/gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include -I/gnu/store/fjnqbp82xlk7grb1phi377ifvy2ag4lp-iverilog-12.0/include -I/gnu/store/8plnc3lpnfhyqyy4khcjzm7lhnvvnnw7-googletest-1.17.0/include -I/gnu/store/7ykml1rwb7dmgsic1nvcwf3f8sj4wg6g-bzip2-1.0.8/include -I/gnu/store/rnj26qklbw75sn0b74i802acshrijy66-file-5.46/include -I/gnu/store/5189xfjv3av3djx824k3vplzgam5yk1q-gawk-5.3.0/include -I/gnu/store/74kzd0881xh5b1b3cdq4pva26pywlpph-xz-5.4.5/include -I/gnu/store/mx9i9dnjx34lkx8k8r79876q2f5dclvr-make-4.4.1/include -I/gnu/store/x7x8y4c3ccn33sq7r9nb77y5d5mz3970-binutils-2.44/include -I/gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/include/c++ -I/gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/include -I/gnu/store/50jqiigxwa1s9xljqrl1wh85yncqnybr-glibc-2.41/include -I/gnu/store/4vxzslxx9fcvhcq0cqjr168shg8s1kwa-boost-1.83.0/include -I/gnu/store/r94kayv6y93mj1hgc13k11klha366dsw-eigen-3.4.0/include -I/gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/include -I/gnu/store/g34v9di9pw9d3p0z4gavygqp8197szky-qtwayland-5.15.17/include -I/gnu/store/ny8visn9x0y1r3bfylrirfbjprg3nh15-qtimgui-0.0-0.48d64a7/include -I/gnu/store/1zqziv4s5q2y8d3byhbzfz61hrpy4xrz-linux-libre-headers-6.12.17/include -I/gnu/store/lkr00hf38xnc265pziwm68bpii3xdg8q-imgui-1.86/include -I/gnu/store/52bc4k6kgp9cv4pinns4yfp5lagj8zqr-xorgproto-2024.1/include -I/gnu/store/9b6xbajrzba0jzvjpdw8sbnwk1iq6ja2-libxxf86vm-1.1.6/include -I/gnu/store/515l3riya9ffp834kd0fa0gn3xzqrdck-libxshmfence-1.3.3/include -I/gnu/store/mv71i3v40b2gqvqy1rfkc219cfihgwc0-libxfixes-6.0.1/include -I/gnu/store/4ab335v9nxqqfzvia87ccvgq33masz9a-libxdamage-1.1.6/include -I/gnu/store/vznnvmjy5q54gk0qvik9gi181li09wpf-libx11-1.8.12/include -I/gnu/store/dzbj2yv45vzrazlr6ywmzd828j4nx02p-libvdpau-1.5/include -I/gnu/store/56qrv5j1l2qfm1c4x0csidbprbq9ypcy-libdrm-2.4.124/include -I/gnu/store/1c41hrnadwb0a1af7n3skvj1kxznq29x-libxext-1.3.6/include -I/gnu/store/v60dfd1nbw4j0lk08ha0jfdmkin8xnpi-libxcb-1.17.0/include -I/gnu/store/v06qx4fqqy30fg4y3ls6p4c3g7wrmj89-libpciaccess-0.18.1/include -I/gnu/store/j461yp7q0sl48bbaj7f244aqycs3jwgl-libxdmcp-1.1.5/include -I/gnu/store/ywpvw7g27qcfr4clhdk2xxb5rijqfns3-libxau-1.0.12/include -I/gnu/store/rwwdavvfvn3d5nwwvmd4sf6bnw1qra88-zlib-1.3.1/include -I/gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/include/c++/aarch64-unknown-linux-gnu -I/gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/include/c++/backward -I/gnu/store/6ngp1bahsp96qmjnimvhf3hfi6abklsk-gcc-14.3.0-lib/lib/gcc/aarch64-unknown-linux-gnu/14.3.0/include -I/gnu/store/6ngp1bahsp96qmjnimvhf3hfi6abklsk-gcc-14.3.0-lib/lib/gcc/aarch64-unknown-linux-gnu/14.3.0/include-fixed --include /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/himbaechel/uarch/gatemate/gui/nextpnr-himbaechel-gatemate-gui_autogen/moc_predefs.h --output-dep-file -o /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/himbaechel/uarch/gatemate/gui/nextpnr-himbaechel-gatemate-gui_autogen/EWIEGA46WW/moc_pythontab.cpp /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/pythontab.h AutoMoc: Reading dependencies from "BIN:/ice40/gui/nextpnr-ice40-gui_autogen/EWIEGA46WW/moc_pythontab.cpp.d" AutoMoc: Generating "BIN:/ice40/gui/nextpnr-ice40-gui_autogen/EWIEGA46WW/moc_worker.cpp", because it doesn't exist, from "SRC:/gui/worker.h" /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/bin/moc -DARCHNAME=ice40 -DARCH_ICE40 -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DNO_RUST -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/ice40/gui -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui -I/gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/include/python3.11 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/ice40 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/frontend -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/json -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/QtPropertyBrowser/src -I/gnu/store/lkr00hf38xnc265pziwm68bpii3xdg8q-imgui-1.86/include/imgui -I/gnu/store/ny8visn9x0y1r3bfylrirfbjprg3nh15-qtimgui-0.0-0.48d64a7/include/qtimgui -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/python-console -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/python-console/modified -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/ice40 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/common -I/gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5 -I/gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtWidgets -I/gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtGui -I/gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtCore -I/gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/lib/qt5/mkspecs/linux-g++ -I/gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtOpenGL -I/gnu/store/f76qdd5i5aiyhii5vfg8inpcwgv81dyk-mesa-25.2.3/include -I/gnu/store/3702dz9kiacbldynjm02a61ni75p7hk6-pybind11-2.13.6/include -I/gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include -I/gnu/store/fjnqbp82xlk7grb1phi377ifvy2ag4lp-iverilog-12.0/include -I/gnu/store/8plnc3lpnfhyqyy4khcjzm7lhnvvnnw7-googletest-1.17.0/include -I/gnu/store/7ykml1rwb7dmgsic1nvcwf3f8sj4wg6g-bzip2-1.0.8/include -I/gnu/store/rnj26qklbw75sn0b74i802acshrijy66-file-5.46/include -I/gnu/store/5189xfjv3av3djx824k3vplzgam5yk1q-gawk-5.3.0/include -I/gnu/store/74kzd0881xh5b1b3cdq4pva26pywlpph-xz-5.4.5/include -I/gnu/store/mx9i9dnjx34lkx8k8r79876q2f5dclvr-make-4.4.1/include -I/gnu/store/x7x8y4c3ccn33sq7r9nb77y5d5mz3970-binutils-2.44/include -I/gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/include/c++ -I/gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/include -I/gnu/store/50jqiigxwa1s9xljqrl1wh85yncqnybr-glibc-2.41/include -I/gnu/store/4vxzslxx9fcvhcq0cqjr168shg8s1kwa-boost-1.83.0/include -I/gnu/store/r94kayv6y93mj1hgc13k11klha366dsw-eigen-3.4.0/include -I/gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/include -I/gnu/store/g34v9di9pw9d3p0z4gavygqp8197szky-qtwayland-5.15.17/include -I/gnu/store/ny8visn9x0y1r3bfylrirfbjprg3nh15-qtimgui-0.0-0.48d64a7/include -I/gnu/store/1zqziv4s5q2y8d3byhbzfz61hrpy4xrz-linux-libre-headers-6.12.17/include -I/gnu/store/lkr00hf38xnc265pziwm68bpii3xdg8q-imgui-1.86/include -I/gnu/store/52bc4k6kgp9cv4pinns4yfp5lagj8zqr-xorgproto-2024.1/include -I/gnu/store/9b6xbajrzba0jzvjpdw8sbnwk1iq6ja2-libxxf86vm-1.1.6/include -I/gnu/store/515l3riya9ffp834kd0fa0gn3xzqrdck-libxshmfence-1.3.3/include -I/gnu/store/mv71i3v40b2gqvqy1rfkc219cfihgwc0-libxfixes-6.0.1/include -I/gnu/store/4ab335v9nxqqfzvia87ccvgq33masz9a-libxdamage-1.1.6/include -I/gnu/store/vznnvmjy5q54gk0qvik9gi181li09wpf-libx11-1.8.12/include -I/gnu/store/dzbj2yv45vzrazlr6ywmzd828j4nx02p-libvdpau-1.5/include -I/gnu/store/56qrv5j1l2qfm1c4x0csidbprbq9ypcy-libdrm-2.4.124/include -I/gnu/store/1c41hrnadwb0a1af7n3skvj1kxznq29x-libxext-1.3.6/include -I/gnu/store/v60dfd1nbw4j0lk08ha0jfdmkin8xnpi-libxcb-1.17.0/include -I/gnu/store/v06qx4fqqy30fg4y3ls6p4c3g7wrmj89-libpciaccess-0.18.1/include -I/gnu/store/j461yp7q0sl48bbaj7f244aqycs3jwgl-libxdmcp-1.1.5/include -I/gnu/store/ywpvw7g27qcfr4clhdk2xxb5rijqfns3-libxau-1.0.12/include -I/gnu/store/rwwdavvfvn3d5nwwvmd4sf6bnw1qra88-zlib-1.3.1/include -I/gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/include/c++/aarch64-unknown-linux-gnu -I/gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/include/c++/backward -I/gnu/store/6ngp1bahsp96qmjnimvhf3hfi6abklsk-gcc-14.3.0-lib/lib/gcc/aarch64-unknown-linux-gnu/14.3.0/include -I/gnu/store/6ngp1bahsp96qmjnimvhf3hfi6abklsk-gcc-14.3.0-lib/lib/gcc/aarch64-unknown-linux-gnu/14.3.0/include-fixed --include /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/ice40/gui/nextpnr-ice40-gui_autogen/moc_predefs.h --output-dep-file -o /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/ice40/gui/nextpnr-ice40-gui_autogen/EWIEGA46WW/moc_worker.cpp /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/worker.h AutoMoc: Reading dependencies from "BIN:/generic/gui/nextpnr-generic-gui_autogen/EWIEGA46WW/moc_pythontab.cpp.d" AutoMoc: Generating "BIN:/generic/gui/nextpnr-generic-gui_autogen/EWIEGA46WW/moc_worker.cpp", because it doesn't exist, from "SRC:/gui/worker.h" /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/bin/moc -DARCHNAME=generic -DARCH_GENERIC -DNEXTPNR_NAMESPACE=nextpnr_generic -DNO_RUST -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/generic/gui -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui -I/gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/include/python3.11 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/generic -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/frontend -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/json -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/QtPropertyBrowser/src -I/gnu/store/lkr00hf38xnc265pziwm68bpii3xdg8q-imgui-1.86/include/imgui -I/gnu/store/ny8visn9x0y1r3bfylrirfbjprg3nh15-qtimgui-0.0-0.48d64a7/include/qtimgui -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/python-console -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/python-console/modified -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/generic -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/common -I/gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5 -I/gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtWidgets -I/gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtGui -I/gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtCore -I/gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/lib/qt5/mkspecs/linux-g++ -I/gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtOpenGL -I/gnu/store/f76qdd5i5aiyhii5vfg8inpcwgv81dyk-mesa-25.2.3/include -I/gnu/store/3702dz9kiacbldynjm02a61ni75p7hk6-pybind11-2.13.6/include -I/gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include -I/gnu/store/fjnqbp82xlk7grb1phi377ifvy2ag4lp-iverilog-12.0/include -I/gnu/store/8plnc3lpnfhyqyy4khcjzm7lhnvvnnw7-googletest-1.17.0/include -I/gnu/store/7ykml1rwb7dmgsic1nvcwf3f8sj4wg6g-bzip2-1.0.8/include -I/gnu/store/rnj26qklbw75sn0b74i802acshrijy66-file-5.46/include -I/gnu/store/5189xfjv3av3djx824k3vplzgam5yk1q-gawk-5.3.0/include -I/gnu/store/74kzd0881xh5b1b3cdq4pva26pywlpph-xz-5.4.5/include -I/gnu/store/mx9i9dnjx34lkx8k8r79876q2f5dclvr-make-4.4.1/include -I/gnu/store/x7x8y4c3ccn33sq7r9nb77y5d5mz3970-binutils-2.44/include -I/gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/include/c++ -I/gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/include -I/gnu/store/50jqiigxwa1s9xljqrl1wh85yncqnybr-glibc-2.41/include -I/gnu/store/4vxzslxx9fcvhcq0cqjr168shg8s1kwa-boost-1.83.0/include -I/gnu/store/r94kayv6y93mj1hgc13k11klha366dsw-eigen-3.4.0/include -I/gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/include -I/gnu/store/g34v9di9pw9d3p0z4gavygqp8197szky-qtwayland-5.15.17/include -I/gnu/store/ny8visn9x0y1r3bfylrirfbjprg3nh15-qtimgui-0.0-0.48d64a7/include -I/gnu/store/1zqziv4s5q2y8d3byhbzfz61hrpy4xrz-linux-libre-headers-6.12.17/include -I/gnu/store/lkr00hf38xnc265pziwm68bpii3xdg8q-imgui-1.86/include -I/gnu/store/52bc4k6kgp9cv4pinns4yfp5lagj8zqr-xorgproto-2024.1/include -I/gnu/store/9b6xbajrzba0jzvjpdw8sbnwk1iq6ja2-libxxf86vm-1.1.6/include -I/gnu/store/515l3riya9ffp834kd0fa0gn3xzqrdck-libxshmfence-1.3.3/include -I/gnu/store/mv71i3v40b2gqvqy1rfkc219cfihgwc0-libxfixes-6.0.1/include -I/gnu/store/4ab335v9nxqqfzvia87ccvgq33masz9a-libxdamage-1.1.6/include -I/gnu/store/vznnvmjy5q54gk0qvik9gi181li09wpf-libx11-1.8.12/include -I/gnu/store/dzbj2yv45vzrazlr6ywmzd828j4nx02p-libvdpau-1.5/include -I/gnu/store/56qrv5j1l2qfm1c4x0csidbprbq9ypcy-libdrm-2.4.124/include -I/gnu/store/1c41hrnadwb0a1af7n3skvj1kxznq29x-libxext-1.3.6/include -I/gnu/store/v60dfd1nbw4j0lk08ha0jfdmkin8xnpi-libxcb-1.17.0/include -I/gnu/store/v06qx4fqqy30fg4y3ls6p4c3g7wrmj89-libpciaccess-0.18.1/include -I/gnu/store/j461yp7q0sl48bbaj7f244aqycs3jwgl-libxdmcp-1.1.5/include -I/gnu/store/ywpvw7g27qcfr4clhdk2xxb5rijqfns3-libxau-1.0.12/include -I/gnu/store/rwwdavvfvn3d5nwwvmd4sf6bnw1qra88-zlib-1.3.1/include -I/gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/include/c++/aarch64-unknown-linux-gnu -I/gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/include/c++/backward -I/gnu/store/6ngp1bahsp96qmjnimvhf3hfi6abklsk-gcc-14.3.0-lib/lib/gcc/aarch64-unknown-linux-gnu/14.3.0/include -I/gnu/store/6ngp1bahsp96qmjnimvhf3hfi6abklsk-gcc-14.3.0-lib/lib/gcc/aarch64-unknown-linux-gnu/14.3.0/include-fixed --include /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/generic/gui/nextpnr-generic-gui_autogen/moc_predefs.h --output-dep-file -o /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/generic/gui/nextpnr-generic-gui_autogen/EWIEGA46WW/moc_worker.cpp /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/worker.h AutoMoc: Reading dependencies from "BIN:/ecp5/gui/nextpnr-ecp5-gui_autogen/EWIEGA46WW/moc_pythontab.cpp.d" AutoMoc: Generating "BIN:/ecp5/gui/nextpnr-ecp5-gui_autogen/EWIEGA46WW/moc_worker.cpp", because it doesn't exist, from "SRC:/gui/worker.h" /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/bin/moc -DARCHNAME=ecp5 -DARCH_ECP5 -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DNO_RUST -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/ecp5/gui -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui -I/gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/include/python3.11 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/ecp5 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/frontend -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/json -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/QtPropertyBrowser/src -I/gnu/store/lkr00hf38xnc265pziwm68bpii3xdg8q-imgui-1.86/include/imgui -I/gnu/store/ny8visn9x0y1r3bfylrirfbjprg3nh15-qtimgui-0.0-0.48d64a7/include/qtimgui -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/python-console -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/python-console/modified -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/ecp5 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/common -I/gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5 -I/gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtWidgets -I/gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtGui -I/gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtCore -I/gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/lib/qt5/mkspecs/linux-g++ -I/gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtOpenGL -I/gnu/store/f76qdd5i5aiyhii5vfg8inpcwgv81dyk-mesa-25.2.3/include -I/gnu/store/3702dz9kiacbldynjm02a61ni75p7hk6-pybind11-2.13.6/include -I/gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include -I/gnu/store/fjnqbp82xlk7grb1phi377ifvy2ag4lp-iverilog-12.0/include -I/gnu/store/8plnc3lpnfhyqyy4khcjzm7lhnvvnnw7-googletest-1.17.0/include -I/gnu/store/7ykml1rwb7dmgsic1nvcwf3f8sj4wg6g-bzip2-1.0.8/include -I/gnu/store/rnj26qklbw75sn0b74i802acshrijy66-file-5.46/include -I/gnu/store/5189xfjv3av3djx824k3vplzgam5yk1q-gawk-5.3.0/include -I/gnu/store/74kzd0881xh5b1b3cdq4pva26pywlpph-xz-5.4.5/include -I/gnu/store/mx9i9dnjx34lkx8k8r79876q2f5dclvr-make-4.4.1/include -I/gnu/store/x7x8y4c3ccn33sq7r9nb77y5d5mz3970-binutils-2.44/include -I/gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/include/c++ -I/gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/include -I/gnu/store/50jqiigxwa1s9xljqrl1wh85yncqnybr-glibc-2.41/include -I/gnu/store/4vxzslxx9fcvhcq0cqjr168shg8s1kwa-boost-1.83.0/include -I/gnu/store/r94kayv6y93mj1hgc13k11klha366dsw-eigen-3.4.0/include -I/gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/include -I/gnu/store/g34v9di9pw9d3p0z4gavygqp8197szky-qtwayland-5.15.17/include -I/gnu/store/ny8visn9x0y1r3bfylrirfbjprg3nh15-qtimgui-0.0-0.48d64a7/include -I/gnu/store/1zqziv4s5q2y8d3byhbzfz61hrpy4xrz-linux-libre-headers-6.12.17/include -I/gnu/store/lkr00hf38xnc265pziwm68bpii3xdg8q-imgui-1.86/include -I/gnu/store/52bc4k6kgp9cv4pinns4yfp5lagj8zqr-xorgproto-2024.1/include -I/gnu/store/9b6xbajrzba0jzvjpdw8sbnwk1iq6ja2-libxxf86vm-1.1.6/include -I/gnu/store/515l3riya9ffp834kd0fa0gn3xzqrdck-libxshmfence-1.3.3/include -I/gnu/store/mv71i3v40b2gqvqy1rfkc219cfihgwc0-libxfixes-6.0.1/include -I/gnu/store/4ab335v9nxqqfzvia87ccvgq33masz9a-libxdamage-1.1.6/include -I/gnu/store/vznnvmjy5q54gk0qvik9gi181li09wpf-libx11-1.8.12/include -I/gnu/store/dzbj2yv45vzrazlr6ywmzd828j4nx02p-libvdpau-1.5/include -I/gnu/store/56qrv5j1l2qfm1c4x0csidbprbq9ypcy-libdrm-2.4.124/include -I/gnu/store/1c41hrnadwb0a1af7n3skvj1kxznq29x-libxext-1.3.6/include -I/gnu/store/v60dfd1nbw4j0lk08ha0jfdmkin8xnpi-libxcb-1.17.0/include -I/gnu/store/v06qx4fqqy30fg4y3ls6p4c3g7wrmj89-libpciaccess-0.18.1/include -I/gnu/store/j461yp7q0sl48bbaj7f244aqycs3jwgl-libxdmcp-1.1.5/include -I/gnu/store/ywpvw7g27qcfr4clhdk2xxb5rijqfns3-libxau-1.0.12/include -I/gnu/store/rwwdavvfvn3d5nwwvmd4sf6bnw1qra88-zlib-1.3.1/include -I/gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/include/c++/aarch64-unknown-linux-gnu -I/gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/include/c++/backward -I/gnu/store/6ngp1bahsp96qmjnimvhf3hfi6abklsk-gcc-14.3.0-lib/lib/gcc/aarch64-unknown-linux-gnu/14.3.0/include -I/gnu/store/6ngp1bahsp96qmjnimvhf3hfi6abklsk-gcc-14.3.0-lib/lib/gcc/aarch64-unknown-linux-gnu/14.3.0/include-fixed --include /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/ecp5/gui/nextpnr-ecp5-gui_autogen/moc_predefs.h --output-dep-file -o /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/ecp5/gui/nextpnr-ecp5-gui_autogen/EWIEGA46WW/moc_worker.cpp /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/worker.h AutoMoc: Reading dependencies from "BIN:/himbaechel/uarch/gowin/gui/nextpnr-himbaechel-gowin-gui_autogen/EWIEGA46WW/moc_pythontab.cpp.d" AutoMoc: Generating "BIN:/himbaechel/uarch/gowin/gui/nextpnr-himbaechel-gowin-gui_autogen/EWIEGA46WW/moc_worker.cpp", because it doesn't exist, from "SRC:/gui/worker.h" /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/bin/moc -DARCHNAME=himbaechel -DARCH_HIMBAECHEL -DNEXTPNR_NAMESPACE=nextpnr_himbaechel -DNO_RUST -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/himbaechel/uarch/gowin/gui -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui -I/gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/include/python3.11 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/himbaechel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/frontend -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/json -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/QtPropertyBrowser/src -I/gnu/store/lkr00hf38xnc265pziwm68bpii3xdg8q-imgui-1.86/include/imgui -I/gnu/store/ny8visn9x0y1r3bfylrirfbjprg3nh15-qtimgui-0.0-0.48d64a7/include/qtimgui -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/python-console -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/python-console/modified -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/himbaechel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/common -I/gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5 -I/gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtWidgets -I/gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtGui -I/gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtCore -I/gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/lib/qt5/mkspecs/linux-g++ -I/gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtOpenGL -I/gnu/store/f76qdd5i5aiyhii5vfg8inpcwgv81dyk-mesa-25.2.3/include -I/gnu/store/3702dz9kiacbldynjm02a61ni75p7hk6-pybind11-2.13.6/include -I/gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include -I/gnu/store/fjnqbp82xlk7grb1phi377ifvy2ag4lp-iverilog-12.0/include -I/gnu/store/8plnc3lpnfhyqyy4khcjzm7lhnvvnnw7-googletest-1.17.0/include -I/gnu/store/7ykml1rwb7dmgsic1nvcwf3f8sj4wg6g-bzip2-1.0.8/include -I/gnu/store/rnj26qklbw75sn0b74i802acshrijy66-file-5.46/include -I/gnu/store/5189xfjv3av3djx824k3vplzgam5yk1q-gawk-5.3.0/include -I/gnu/store/74kzd0881xh5b1b3cdq4pva26pywlpph-xz-5.4.5/include -I/gnu/store/mx9i9dnjx34lkx8k8r79876q2f5dclvr-make-4.4.1/include -I/gnu/store/x7x8y4c3ccn33sq7r9nb77y5d5mz3970-binutils-2.44/include -I/gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/include/c++ -I/gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/include -I/gnu/store/50jqiigxwa1s9xljqrl1wh85yncqnybr-glibc-2.41/include -I/gnu/store/4vxzslxx9fcvhcq0cqjr168shg8s1kwa-boost-1.83.0/include -I/gnu/store/r94kayv6y93mj1hgc13k11klha366dsw-eigen-3.4.0/include -I/gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/include -I/gnu/store/g34v9di9pw9d3p0z4gavygqp8197szky-qtwayland-5.15.17/include -I/gnu/store/ny8visn9x0y1r3bfylrirfbjprg3nh15-qtimgui-0.0-0.48d64a7/include -I/gnu/store/1zqziv4s5q2y8d3byhbzfz61hrpy4xrz-linux-libre-headers-6.12.17/include -I/gnu/store/lkr00hf38xnc265pziwm68bpii3xdg8q-imgui-1.86/include -I/gnu/store/52bc4k6kgp9cv4pinns4yfp5lagj8zqr-xorgproto-2024.1/include -I/gnu/store/9b6xbajrzba0jzvjpdw8sbnwk1iq6ja2-libxxf86vm-1.1.6/include -I/gnu/store/515l3riya9ffp834kd0fa0gn3xzqrdck-libxshmfence-1.3.3/include -I/gnu/store/mv71i3v40b2gqvqy1rfkc219cfihgwc0-libxfixes-6.0.1/include -I/gnu/store/4ab335v9nxqqfzvia87ccvgq33masz9a-libxdamage-1.1.6/include -I/gnu/store/vznnvmjy5q54gk0qvik9gi181li09wpf-libx11-1.8.12/include -I/gnu/store/dzbj2yv45vzrazlr6ywmzd828j4nx02p-libvdpau-1.5/include -I/gnu/store/56qrv5j1l2qfm1c4x0csidbprbq9ypcy-libdrm-2.4.124/include -I/gnu/store/1c41hrnadwb0a1af7n3skvj1kxznq29x-libxext-1.3.6/include -I/gnu/store/v60dfd1nbw4j0lk08ha0jfdmkin8xnpi-libxcb-1.17.0/include -I/gnu/store/v06qx4fqqy30fg4y3ls6p4c3g7wrmj89-libpciaccess-0.18.1/include -I/gnu/store/j461yp7q0sl48bbaj7f244aqycs3jwgl-libxdmcp-1.1.5/include -I/gnu/store/ywpvw7g27qcfr4clhdk2xxb5rijqfns3-libxau-1.0.12/include -I/gnu/store/rwwdavvfvn3d5nwwvmd4sf6bnw1qra88-zlib-1.3.1/include -I/gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/include/c++/aarch64-unknown-linux-gnu -I/gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/include/c++/backward -I/gnu/store/6ngp1bahsp96qmjnimvhf3hfi6abklsk-gcc-14.3.0-lib/lib/gcc/aarch64-unknown-linux-gnu/14.3.0/include -I/gnu/store/6ngp1bahsp96qmjnimvhf3hfi6abklsk-gcc-14.3.0-lib/lib/gcc/aarch64-unknown-linux-gnu/14.3.0/include-fixed --include /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/himbaechel/uarch/gowin/gui/nextpnr-himbaechel-gowin-gui_autogen/moc_predefs.h --output-dep-file -o /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/himbaechel/uarch/gowin/gui/nextpnr-himbaechel-gowin-gui_autogen/EWIEGA46WW/moc_worker.cpp /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/worker.h AutoMoc: Reading dependencies from "BIN:/himbaechel/uarch/ng-ultra/gui/nextpnr-himbaechel-ng-ultra-gui_autogen/EWIEGA46WW/moc_pythontab.cpp.d" AutoMoc: Generating "BIN:/himbaechel/uarch/ng-ultra/gui/nextpnr-himbaechel-ng-ultra-gui_autogen/EWIEGA46WW/moc_worker.cpp", because it doesn't exist, from "SRC:/gui/worker.h" /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/bin/moc -DARCHNAME=himbaechel -DARCH_HIMBAECHEL -DNEXTPNR_NAMESPACE=nextpnr_himbaechel -DNO_RUST -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/himbaechel/uarch/ng-ultra/gui -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui -I/gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/include/python3.11 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/himbaechel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/frontend -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/json -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/QtPropertyBrowser/src -I/gnu/store/lkr00hf38xnc265pziwm68bpii3xdg8q-imgui-1.86/include/imgui -I/gnu/store/ny8visn9x0y1r3bfylrirfbjprg3nh15-qtimgui-0.0-0.48d64a7/include/qtimgui -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/python-console -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/python-console/modified -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/himbaechel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/common -I/gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5 -I/gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtWidgets -I/gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtGui -I/gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtCore -I/gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/lib/qt5/mkspecs/linux-g++ -I/gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtOpenGL -I/gnu/store/f76qdd5i5aiyhii5vfg8inpcwgv81dyk-mesa-25.2.3/include -I/gnu/store/3702dz9kiacbldynjm02a61ni75p7hk6-pybind11-2.13.6/include -I/gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include -I/gnu/store/fjnqbp82xlk7grb1phi377ifvy2ag4lp-iverilog-12.0/include -I/gnu/store/8plnc3lpnfhyqyy4khcjzm7lhnvvnnw7-googletest-1.17.0/include -I/gnu/store/7ykml1rwb7dmgsic1nvcwf3f8sj4wg6g-bzip2-1.0.8/include -I/gnu/store/rnj26qklbw75sn0b74i802acshrijy66-file-5.46/include -I/gnu/store/5189xfjv3av3djx824k3vplzgam5yk1q-gawk-5.3.0/include -I/gnu/store/74kzd0881xh5b1b3cdq4pva26pywlpph-xz-5.4.5/include -I/gnu/store/mx9i9dnjx34lkx8k8r79876q2f5dclvr-make-4.4.1/include -I/gnu/store/x7x8y4c3ccn33sq7r9nb77y5d5mz3970-binutils-2.44/include -I/gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/include/c++ -I/gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/include -I/gnu/store/50jqiigxwa1s9xljqrl1wh85yncqnybr-glibc-2.41/include -I/gnu/store/4vxzslxx9fcvhcq0cqjr168shg8s1kwa-boost-1.83.0/include -I/gnu/store/r94kayv6y93mj1hgc13k11klha366dsw-eigen-3.4.0/include -I/gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/include -I/gnu/store/g34v9di9pw9d3p0z4gavygqp8197szky-qtwayland-5.15.17/include -I/gnu/store/ny8visn9x0y1r3bfylrirfbjprg3nh15-qtimgui-0.0-0.48d64a7/include -I/gnu/store/1zqziv4s5q2y8d3byhbzfz61hrpy4xrz-linux-libre-headers-6.12.17/include -I/gnu/store/lkr00hf38xnc265pziwm68bpii3xdg8q-imgui-1.86/include -I/gnu/store/52bc4k6kgp9cv4pinns4yfp5lagj8zqr-xorgproto-2024.1/include -I/gnu/store/9b6xbajrzba0jzvjpdw8sbnwk1iq6ja2-libxxf86vm-1.1.6/include -I/gnu/store/515l3riya9ffp834kd0fa0gn3xzqrdck-libxshmfence-1.3.3/include -I/gnu/store/mv71i3v40b2gqvqy1rfkc219cfihgwc0-libxfixes-6.0.1/include -I/gnu/store/4ab335v9nxqqfzvia87ccvgq33masz9a-libxdamage-1.1.6/include -I/gnu/store/vznnvmjy5q54gk0qvik9gi181li09wpf-libx11-1.8.12/include -I/gnu/store/dzbj2yv45vzrazlr6ywmzd828j4nx02p-libvdpau-1.5/include -I/gnu/store/56qrv5j1l2qfm1c4x0csidbprbq9ypcy-libdrm-2.4.124/include -I/gnu/store/1c41hrnadwb0a1af7n3skvj1kxznq29x-libxext-1.3.6/include -I/gnu/store/v60dfd1nbw4j0lk08ha0jfdmkin8xnpi-libxcb-1.17.0/include -I/gnu/store/v06qx4fqqy30fg4y3ls6p4c3g7wrmj89-libpciaccess-0.18.1/include -I/gnu/store/j461yp7q0sl48bbaj7f244aqycs3jwgl-libxdmcp-1.1.5/include -I/gnu/store/ywpvw7g27qcfr4clhdk2xxb5rijqfns3-libxau-1.0.12/include -I/gnu/store/rwwdavvfvn3d5nwwvmd4sf6bnw1qra88-zlib-1.3.1/include -I/gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/include/c++/aarch64-unknown-linux-gnu -I/gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/include/c++/backward -I/gnu/store/6ngp1bahsp96qmjnimvhf3hfi6abklsk-gcc-14.3.0-lib/lib/gcc/aarch64-unknown-linux-gnu/14.3.0/include -I/gnu/store/6ngp1bahsp96qmjnimvhf3hfi6abklsk-gcc-14.3.0-lib/lib/gcc/aarch64-unknown-linux-gnu/14.3.0/include-fixed --include /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/himbaechel/uarch/ng-ultra/gui/nextpnr-himbaechel-ng-ultra-gui_autogen/moc_predefs.h --output-dep-file -o /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/himbaechel/uarch/ng-ultra/gui/nextpnr-himbaechel-ng-ultra-gui_autogen/EWIEGA46WW/moc_worker.cpp /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/worker.h AutoMoc: Reading dependencies from "BIN:/himbaechel/uarch/gatemate/gui/nextpnr-himbaechel-gatemate-gui_autogen/EWIEGA46WW/moc_pythontab.cpp.d" AutoMoc: Generating "BIN:/himbaechel/uarch/gatemate/gui/nextpnr-himbaechel-gatemate-gui_autogen/EWIEGA46WW/moc_worker.cpp", because it doesn't exist, from "SRC:/gui/worker.h" /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/bin/moc -DARCHNAME=himbaechel -DARCH_HIMBAECHEL -DNEXTPNR_NAMESPACE=nextpnr_himbaechel -DNO_RUST -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/himbaechel/uarch/gatemate/gui -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui -I/gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/include/python3.11 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/himbaechel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/frontend -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/json -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/QtPropertyBrowser/src -I/gnu/store/lkr00hf38xnc265pziwm68bpii3xdg8q-imgui-1.86/include/imgui -I/gnu/store/ny8visn9x0y1r3bfylrirfbjprg3nh15-qtimgui-0.0-0.48d64a7/include/qtimgui -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/python-console -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/python-console/modified -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/himbaechel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/common -I/gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5 -I/gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtWidgets -I/gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtGui -I/gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtCore -I/gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/lib/qt5/mkspecs/linux-g++ -I/gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtOpenGL -I/gnu/store/f76qdd5i5aiyhii5vfg8inpcwgv81dyk-mesa-25.2.3/include -I/gnu/store/3702dz9kiacbldynjm02a61ni75p7hk6-pybind11-2.13.6/include -I/gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include -I/gnu/store/fjnqbp82xlk7grb1phi377ifvy2ag4lp-iverilog-12.0/include -I/gnu/store/8plnc3lpnfhyqyy4khcjzm7lhnvvnnw7-googletest-1.17.0/include -I/gnu/store/7ykml1rwb7dmgsic1nvcwf3f8sj4wg6g-bzip2-1.0.8/include -I/gnu/store/rnj26qklbw75sn0b74i802acshrijy66-file-5.46/include -I/gnu/store/5189xfjv3av3djx824k3vplzgam5yk1q-gawk-5.3.0/include -I/gnu/store/74kzd0881xh5b1b3cdq4pva26pywlpph-xz-5.4.5/include -I/gnu/store/mx9i9dnjx34lkx8k8r79876q2f5dclvr-make-4.4.1/include -I/gnu/store/x7x8y4c3ccn33sq7r9nb77y5d5mz3970-binutils-2.44/include -I/gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/include/c++ -I/gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/include -I/gnu/store/50jqiigxwa1s9xljqrl1wh85yncqnybr-glibc-2.41/include -I/gnu/store/4vxzslxx9fcvhcq0cqjr168shg8s1kwa-boost-1.83.0/include -I/gnu/store/r94kayv6y93mj1hgc13k11klha366dsw-eigen-3.4.0/include -I/gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/include -I/gnu/store/g34v9di9pw9d3p0z4gavygqp8197szky-qtwayland-5.15.17/include -I/gnu/store/ny8visn9x0y1r3bfylrirfbjprg3nh15-qtimgui-0.0-0.48d64a7/include -I/gnu/store/1zqziv4s5q2y8d3byhbzfz61hrpy4xrz-linux-libre-headers-6.12.17/include -I/gnu/store/lkr00hf38xnc265pziwm68bpii3xdg8q-imgui-1.86/include -I/gnu/store/52bc4k6kgp9cv4pinns4yfp5lagj8zqr-xorgproto-2024.1/include -I/gnu/store/9b6xbajrzba0jzvjpdw8sbnwk1iq6ja2-libxxf86vm-1.1.6/include -I/gnu/store/515l3riya9ffp834kd0fa0gn3xzqrdck-libxshmfence-1.3.3/include -I/gnu/store/mv71i3v40b2gqvqy1rfkc219cfihgwc0-libxfixes-6.0.1/include -I/gnu/store/4ab335v9nxqqfzvia87ccvgq33masz9a-libxdamage-1.1.6/include -I/gnu/store/vznnvmjy5q54gk0qvik9gi181li09wpf-libx11-1.8.12/include -I/gnu/store/dzbj2yv45vzrazlr6ywmzd828j4nx02p-libvdpau-1.5/include -I/gnu/store/56qrv5j1l2qfm1c4x0csidbprbq9ypcy-libdrm-2.4.124/include -I/gnu/store/1c41hrnadwb0a1af7n3skvj1kxznq29x-libxext-1.3.6/include -I/gnu/store/v60dfd1nbw4j0lk08ha0jfdmkin8xnpi-libxcb-1.17.0/include -I/gnu/store/v06qx4fqqy30fg4y3ls6p4c3g7wrmj89-libpciaccess-0.18.1/include -I/gnu/store/j461yp7q0sl48bbaj7f244aqycs3jwgl-libxdmcp-1.1.5/include -I/gnu/store/ywpvw7g27qcfr4clhdk2xxb5rijqfns3-libxau-1.0.12/include -I/gnu/store/rwwdavvfvn3d5nwwvmd4sf6bnw1qra88-zlib-1.3.1/include -I/gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/include/c++/aarch64-unknown-linux-gnu -I/gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/include/c++/backward -I/gnu/store/6ngp1bahsp96qmjnimvhf3hfi6abklsk-gcc-14.3.0-lib/lib/gcc/aarch64-unknown-linux-gnu/14.3.0/include -I/gnu/store/6ngp1bahsp96qmjnimvhf3hfi6abklsk-gcc-14.3.0-lib/lib/gcc/aarch64-unknown-linux-gnu/14.3.0/include-fixed --include /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/himbaechel/uarch/gatemate/gui/nextpnr-himbaechel-gatemate-gui_autogen/moc_predefs.h --output-dep-file -o /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/himbaechel/uarch/gatemate/gui/nextpnr-himbaechel-gatemate-gui_autogen/EWIEGA46WW/moc_worker.cpp /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/worker.h Deduplicating tile shapes... 1363 unique tile routing shapes cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/himbaechel/uarch/gowin && /gnu/store/d5c8i3b549r412lkhddggyfx9mqdphs7-cmake-minimal-3.31.10/bin/cmake -E rename /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/himbaechel/uarch/gowin/chipdb-GW1N-9C.bba.new /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/himbaechel/uarch/gowin/chipdb-GW1N-9C.bba cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/himbaechel/uarch/gowin && /gnu/store/d5c8i3b549r412lkhddggyfx9mqdphs7-cmake-minimal-3.31.10/bin/cmake -E copy /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/himbaechel/uarch/gowin/chipdb-GW1N-9C.bba /gnu/store/davzxg5m27gz2djfkghkz3pb7ys8gvgi-nextpnr-ice40-0.9-0.ad76625-bba/share/nextpnr/bba-files/himbaechel/uarch/gowin/chipdb-GW1N-9C.bba [ 9%] Generating chipdb-GW1N-9C.bin cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/himbaechel/uarch/gowin && ../../../bba/bbasm --le /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/himbaechel/uarch/gowin/chipdb-GW1N-9C.bba /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/himbaechel/uarch/gowin/chipdb-GW1N-9C.bin Deduplicating tile shapes... 1363 unique tile routing shapes cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/himbaechel/uarch/gowin && /gnu/store/d5c8i3b549r412lkhddggyfx9mqdphs7-cmake-minimal-3.31.10/bin/cmake -E rename /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/himbaechel/uarch/gowin/chipdb-GW1N-9.bba.new /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/himbaechel/uarch/gowin/chipdb-GW1N-9.bba cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/himbaechel/uarch/gowin && /gnu/store/d5c8i3b549r412lkhddggyfx9mqdphs7-cmake-minimal-3.31.10/bin/cmake -E copy /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/himbaechel/uarch/gowin/chipdb-GW1N-9.bba /gnu/store/davzxg5m27gz2djfkghkz3pb7ys8gvgi-nextpnr-ice40-0.9-0.ad76625-bba/share/nextpnr/bba-files/himbaechel/uarch/gowin/chipdb-GW1N-9.bba [ 9%] Generating chipdb-GW1N-9.bin cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/himbaechel/uarch/gowin && ../../../bba/bbasm --le /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/himbaechel/uarch/gowin/chipdb-GW1N-9.bba /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/himbaechel/uarch/gowin/chipdb-GW1N-9.bin AutoMoc: Reading dependencies from "BIN:/ice40/gui/nextpnr-ice40-gui_autogen/EWIEGA46WW/moc_worker.cpp.d" AutoMoc: Generating MOC compilation "BIN:/ice40/gui/nextpnr-ice40-gui_autogen/mocs_compilation.cpp" AutoMoc: Merging MOC dependencies into "BIN:/ice40/gui/nextpnr-ice40-gui_autogen/deps" AutoGen: Writing the parse cache file "BIN:/ice40/gui/CMakeFiles/nextpnr-ice40-gui_autogen.dir/ParseCache.txt" AutoGen: Writing the settings file "BIN:/ice40/gui/CMakeFiles/nextpnr-ice40-gui_autogen.dir/AutogenUsed.txt" AutoMoc: Reading dependencies from "BIN:/generic/gui/nextpnr-generic-gui_autogen/EWIEGA46WW/moc_worker.cpp.d" cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/ice40/gui && /gnu/store/d5c8i3b549r412lkhddggyfx9mqdphs7-cmake-minimal-3.31.10/bin/cmake -E touch /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/ice40/gui/nextpnr-ice40-gui_autogen/timestamp AutoMoc: Generating MOC compilation "BIN:/generic/gui/nextpnr-generic-gui_autogen/mocs_compilation.cpp" AutoMoc: Merging MOC dependencies into "BIN:/generic/gui/nextpnr-generic-gui_autogen/deps" make[2]: Leaving directory '/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build' AutoGen: Writing the parse cache file "BIN:/generic/gui/CMakeFiles/nextpnr-generic-gui_autogen.dir/ParseCache.txt" AutoGen: Writing the settings file "BIN:/generic/gui/CMakeFiles/nextpnr-generic-gui_autogen.dir/AutogenUsed.txt" cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/generic/gui && /gnu/store/d5c8i3b549r412lkhddggyfx9mqdphs7-cmake-minimal-3.31.10/bin/cmake -E touch /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/generic/gui/nextpnr-generic-gui_autogen/timestamp AutoMoc: Reading dependencies from "BIN:/ecp5/gui/nextpnr-ecp5-gui_autogen/EWIEGA46WW/moc_worker.cpp.d" [ 9%] Built target nextpnr-ice40-gui_autogen /gnu/store/mx9i9dnjx34lkx8k8r79876q2f5dclvr-make-4.4.1/bin/make -f ice40/gui/CMakeFiles/nextpnr-ice40-gui.dir/build.make ice40/gui/CMakeFiles/nextpnr-ice40-gui.dir/depend AutoMoc: Generating MOC compilation "BIN:/ecp5/gui/nextpnr-ecp5-gui_autogen/mocs_compilation.cpp" AutoMoc: Merging MOC dependencies into "BIN:/ecp5/gui/nextpnr-ecp5-gui_autogen/deps" make[2]: Entering directory '/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build' make[2]: Leaving directory '/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build' AutoGen: Writing the parse cache file "BIN:/ecp5/gui/CMakeFiles/nextpnr-ecp5-gui_autogen.dir/ParseCache.txt" [ 9%] Generating qrc_base.cpp [ 9%] Generating qrc_nextpnr.cpp AutoGen: Writing the settings file "BIN:/ecp5/gui/CMakeFiles/nextpnr-ecp5-gui_autogen.dir/AutogenUsed.txt" cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/ice40/gui && /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/bin/rcc --name nextpnr --output /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/ice40/gui/qrc_nextpnr.cpp /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/ice40/nextpnr.qrc cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/ice40/gui && /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/bin/rcc --name base --output /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/ice40/gui/qrc_base.cpp /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/base.qrc [ 9%] Built target nextpnr-generic-gui_autogen cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/ecp5/gui && /gnu/store/d5c8i3b549r412lkhddggyfx9mqdphs7-cmake-minimal-3.31.10/bin/cmake -E touch /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/ecp5/gui/nextpnr-ecp5-gui_autogen/timestamp /gnu/store/mx9i9dnjx34lkx8k8r79876q2f5dclvr-make-4.4.1/bin/make -f generic/gui/CMakeFiles/nextpnr-generic-gui.dir/build.make generic/gui/CMakeFiles/nextpnr-generic-gui.dir/depend make[2]: Entering directory '/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build' cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build && /gnu/store/d5c8i3b549r412lkhddggyfx9mqdphs7-cmake-minimal-3.31.10/bin/cmake -E cmake_depends "Unix Makefiles" /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/ice40/gui /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/ice40/gui/CMakeFiles/nextpnr-ice40-gui.dir/DependInfo.cmake "--color=" make[2]: Leaving directory '/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build' [ 9%] Generating qrc_base.cpp [ 9%] Generating qrc_nextpnr.cpp cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/generic/gui && /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/bin/rcc --name nextpnr --output /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/generic/gui/qrc_nextpnr.cpp /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/generic/nextpnr.qrc cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/generic/gui && /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/bin/rcc --name base --output /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/generic/gui/qrc_base.cpp /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/base.qrc make[2]: Leaving directory '/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build' /gnu/store/mx9i9dnjx34lkx8k8r79876q2f5dclvr-make-4.4.1/bin/make -f ice40/gui/CMakeFiles/nextpnr-ice40-gui.dir/build.make ice40/gui/CMakeFiles/nextpnr-ice40-gui.dir/build [ 9%] Built target nextpnr-ecp5-gui_autogen /gnu/store/mx9i9dnjx34lkx8k8r79876q2f5dclvr-make-4.4.1/bin/make -f ecp5/gui/CMakeFiles/nextpnr-ecp5-gui.dir/build.make ecp5/gui/CMakeFiles/nextpnr-ecp5-gui.dir/depend RCC: Warning: No resources in '/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/generic/nextpnr.qrc'. make[2]: Entering directory '/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build' make[2]: Entering directory '/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build' cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build && /gnu/store/d5c8i3b549r412lkhddggyfx9mqdphs7-cmake-minimal-3.31.10/bin/cmake -E cmake_depends "Unix Makefiles" /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/generic/gui /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/generic/gui/CMakeFiles/nextpnr-generic-gui.dir/DependInfo.cmake "--color=" [ 9%] Building CXX object ice40/gui/CMakeFiles/nextpnr-ice40-gui.dir/application.cc.o [ 9%] Building CXX object ice40/gui/CMakeFiles/nextpnr-ice40-gui.dir/nextpnr-ice40-gui_autogen/mocs_compilation.cpp.o [ 9%] Building CXX object ice40/gui/CMakeFiles/nextpnr-ice40-gui.dir/basewindow.cc.o [ 9%] Building CXX object ice40/gui/CMakeFiles/nextpnr-ice40-gui.dir/pyconsole.cc.o [ 9%] Building CXX object ice40/gui/CMakeFiles/nextpnr-ice40-gui.dir/fpgaviewwidget.cc.o [ 9%] Building CXX object ice40/gui/CMakeFiles/nextpnr-ice40-gui.dir/designwidget.cc.o cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/ice40/gui && /gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DNO_RUST -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/ice40/gui -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/ice40/gui/nextpnr-ice40-gui_autogen/include -I/gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/include/python3.11 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/ice40 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/frontend -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/json -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/QtPropertyBrowser/src -I/gnu/store/lkr00hf38xnc265pziwm68bpii3xdg8q-imgui-1.86/include/imgui -I/gnu/store/ny8visn9x0y1r3bfylrirfbjprg3nh15-qtimgui-0.0-0.48d64a7/include/qtimgui -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/../3rdparty/python-console -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/../3rdparty/python-console/modified -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/ice40 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/common -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtWidgets -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtGui -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtCore -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/lib/qt5/mkspecs/linux-g++ -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtOpenGL -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -fdiagnostics-color=always -fPIC -MD -MT ice40/gui/CMakeFiles/nextpnr-ice40-gui.dir/nextpnr-ice40-gui_autogen/mocs_compilation.cpp.o -MF CMakeFiles/nextpnr-ice40-gui.dir/nextpnr-ice40-gui_autogen/mocs_compilation.cpp.o.d -o CMakeFiles/nextpnr-ice40-gui.dir/nextpnr-ice40-gui_autogen/mocs_compilation.cpp.o -c /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/ice40/gui/nextpnr-ice40-gui_autogen/mocs_compilation.cpp cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/ice40/gui && /gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DNO_RUST -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/ice40/gui -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/ice40/gui/nextpnr-ice40-gui_autogen/include -I/gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/include/python3.11 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/ice40 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/frontend -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/json -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/QtPropertyBrowser/src -I/gnu/store/lkr00hf38xnc265pziwm68bpii3xdg8q-imgui-1.86/include/imgui -I/gnu/store/ny8visn9x0y1r3bfylrirfbjprg3nh15-qtimgui-0.0-0.48d64a7/include/qtimgui -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/../3rdparty/python-console -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/../3rdparty/python-console/modified -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/ice40 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/common -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtWidgets -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtGui -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtCore -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/lib/qt5/mkspecs/linux-g++ -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtOpenGL -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -fdiagnostics-color=always -fPIC -MD -MT ice40/gui/CMakeFiles/nextpnr-ice40-gui.dir/application.cc.o -MF CMakeFiles/nextpnr-ice40-gui.dir/application.cc.o.d -o CMakeFiles/nextpnr-ice40-gui.dir/application.cc.o -c /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/application.cc cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/ice40/gui && /gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DNO_RUST -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/ice40/gui -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/ice40/gui/nextpnr-ice40-gui_autogen/include -I/gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/include/python3.11 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/ice40 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/frontend -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/json -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/QtPropertyBrowser/src -I/gnu/store/lkr00hf38xnc265pziwm68bpii3xdg8q-imgui-1.86/include/imgui -I/gnu/store/ny8visn9x0y1r3bfylrirfbjprg3nh15-qtimgui-0.0-0.48d64a7/include/qtimgui -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/../3rdparty/python-console -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/../3rdparty/python-console/modified -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/ice40 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/common -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtWidgets -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtGui -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtCore -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/lib/qt5/mkspecs/linux-g++ -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtOpenGL -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -fdiagnostics-color=always -fPIC -MD -MT ice40/gui/CMakeFiles/nextpnr-ice40-gui.dir/basewindow.cc.o -MF CMakeFiles/nextpnr-ice40-gui.dir/basewindow.cc.o.d -o CMakeFiles/nextpnr-ice40-gui.dir/basewindow.cc.o -c /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/basewindow.cc [ 10%] Building CXX object ice40/gui/CMakeFiles/nextpnr-ice40-gui.dir/lineshader.cc.o [ 10%] Building CXX object ice40/gui/CMakeFiles/nextpnr-ice40-gui.dir/line_editor.cc.o cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/ice40/gui && /gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DNO_RUST -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/ice40/gui -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/ice40/gui/nextpnr-ice40-gui_autogen/include -I/gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/include/python3.11 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/ice40 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/frontend -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/json -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/QtPropertyBrowser/src -I/gnu/store/lkr00hf38xnc265pziwm68bpii3xdg8q-imgui-1.86/include/imgui -I/gnu/store/ny8visn9x0y1r3bfylrirfbjprg3nh15-qtimgui-0.0-0.48d64a7/include/qtimgui -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/../3rdparty/python-console -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/../3rdparty/python-console/modified -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/ice40 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/common -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtWidgets -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtGui -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtCore -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/lib/qt5/mkspecs/linux-g++ -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtOpenGL -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -fdiagnostics-color=always -fPIC -MD -MT ice40/gui/CMakeFiles/nextpnr-ice40-gui.dir/pyconsole.cc.o -MF CMakeFiles/nextpnr-ice40-gui.dir/pyconsole.cc.o.d -o CMakeFiles/nextpnr-ice40-gui.dir/pyconsole.cc.o -c /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/pyconsole.cc [ 10%] Building CXX object ice40/gui/CMakeFiles/nextpnr-ice40-gui.dir/pythontab.cc.o [ 10%] Generating qrc_nextpnr.cpp [ 10%] Building CXX object ice40/gui/CMakeFiles/nextpnr-ice40-gui.dir/qrc_base.cpp.o cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/ice40/gui && /gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DNO_RUST -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/ice40/gui -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/ice40/gui/nextpnr-ice40-gui_autogen/include -I/gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/include/python3.11 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/ice40 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/frontend -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/json -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/QtPropertyBrowser/src -I/gnu/store/lkr00hf38xnc265pziwm68bpii3xdg8q-imgui-1.86/include/imgui -I/gnu/store/ny8visn9x0y1r3bfylrirfbjprg3nh15-qtimgui-0.0-0.48d64a7/include/qtimgui -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/../3rdparty/python-console -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/../3rdparty/python-console/modified -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/ice40 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/common -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtWidgets -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtGui -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtCore -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/lib/qt5/mkspecs/linux-g++ -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtOpenGL -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -fdiagnostics-color=always -fPIC -MD -MT ice40/gui/CMakeFiles/nextpnr-ice40-gui.dir/designwidget.cc.o -MF CMakeFiles/nextpnr-ice40-gui.dir/designwidget.cc.o.d -o CMakeFiles/nextpnr-ice40-gui.dir/designwidget.cc.o -c /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/designwidget.cc [ 10%] Generating qrc_base.cpp [ 10%] Building CXX object ice40/gui/CMakeFiles/nextpnr-ice40-gui.dir/treemodel.cc.o cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/ice40/gui && /gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DNO_RUST -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/ice40/gui -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/ice40/gui/nextpnr-ice40-gui_autogen/include -I/gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/include/python3.11 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/ice40 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/frontend -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/json -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/QtPropertyBrowser/src -I/gnu/store/lkr00hf38xnc265pziwm68bpii3xdg8q-imgui-1.86/include/imgui -I/gnu/store/ny8visn9x0y1r3bfylrirfbjprg3nh15-qtimgui-0.0-0.48d64a7/include/qtimgui -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/../3rdparty/python-console -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/../3rdparty/python-console/modified -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/ice40 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/common -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtWidgets -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtGui -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtCore -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/lib/qt5/mkspecs/linux-g++ -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtOpenGL -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -fdiagnostics-color=always -fPIC -MD -MT ice40/gui/CMakeFiles/nextpnr-ice40-gui.dir/fpgaviewwidget.cc.o -MF CMakeFiles/nextpnr-ice40-gui.dir/fpgaviewwidget.cc.o.d -o CMakeFiles/nextpnr-ice40-gui.dir/fpgaviewwidget.cc.o -c /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/fpgaviewwidget.cc [ 10%] Building CXX object ice40/gui/CMakeFiles/nextpnr-ice40-gui.dir/worker.cc.o cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/ecp5/gui && /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/bin/rcc --name nextpnr --output /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/ecp5/gui/qrc_nextpnr.cpp /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/ecp5/nextpnr.qrc cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/ice40/gui && /gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DNO_RUST -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/ice40/gui -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/ice40/gui/nextpnr-ice40-gui_autogen/include -I/gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/include/python3.11 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/ice40 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/frontend -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/json -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/QtPropertyBrowser/src -I/gnu/store/lkr00hf38xnc265pziwm68bpii3xdg8q-imgui-1.86/include/imgui -I/gnu/store/ny8visn9x0y1r3bfylrirfbjprg3nh15-qtimgui-0.0-0.48d64a7/include/qtimgui -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/../3rdparty/python-console -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/../3rdparty/python-console/modified -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/ice40 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/common -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtWidgets -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtGui -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtCore -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/lib/qt5/mkspecs/linux-g++ -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtOpenGL -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -fdiagnostics-color=always -fPIC -MD -MT ice40/gui/CMakeFiles/nextpnr-ice40-gui.dir/line_editor.cc.o -MF CMakeFiles/nextpnr-ice40-gui.dir/line_editor.cc.o.d -o CMakeFiles/nextpnr-ice40-gui.dir/line_editor.cc.o -c /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/line_editor.cc cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/ice40/gui && /gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DNO_RUST -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/ice40/gui -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/ice40/gui/nextpnr-ice40-gui_autogen/include -I/gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/include/python3.11 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/ice40 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/frontend -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/json -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/QtPropertyBrowser/src -I/gnu/store/lkr00hf38xnc265pziwm68bpii3xdg8q-imgui-1.86/include/imgui -I/gnu/store/ny8visn9x0y1r3bfylrirfbjprg3nh15-qtimgui-0.0-0.48d64a7/include/qtimgui -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/../3rdparty/python-console -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/../3rdparty/python-console/modified -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/ice40 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/common -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtWidgets -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtGui -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtCore -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/lib/qt5/mkspecs/linux-g++ -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtOpenGL -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -fdiagnostics-color=always -fPIC -MD -MT ice40/gui/CMakeFiles/nextpnr-ice40-gui.dir/lineshader.cc.o -MF CMakeFiles/nextpnr-ice40-gui.dir/lineshader.cc.o.d -o CMakeFiles/nextpnr-ice40-gui.dir/lineshader.cc.o -c /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/lineshader.cc cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/ecp5/gui && /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/bin/rcc --name base --output /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/ecp5/gui/qrc_base.cpp /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/base.qrc cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/ice40/gui && /gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DNO_RUST -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/ice40/gui -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/ice40/gui/nextpnr-ice40-gui_autogen/include -I/gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/include/python3.11 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/ice40 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/frontend -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/json -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/QtPropertyBrowser/src -I/gnu/store/lkr00hf38xnc265pziwm68bpii3xdg8q-imgui-1.86/include/imgui -I/gnu/store/ny8visn9x0y1r3bfylrirfbjprg3nh15-qtimgui-0.0-0.48d64a7/include/qtimgui -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/../3rdparty/python-console -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/../3rdparty/python-console/modified -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/ice40 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/common -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtWidgets -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtGui -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtCore -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/lib/qt5/mkspecs/linux-g++ -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtOpenGL -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -fdiagnostics-color=always -fPIC -MD -MT ice40/gui/CMakeFiles/nextpnr-ice40-gui.dir/pythontab.cc.o -MF CMakeFiles/nextpnr-ice40-gui.dir/pythontab.cc.o.d -o CMakeFiles/nextpnr-ice40-gui.dir/pythontab.cc.o -c /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/pythontab.cc [ 10%] Building CXX object ice40/gui/CMakeFiles/nextpnr-ice40-gui.dir/ice40/mainwindow.cc.o cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/ice40/gui && /gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DNO_RUST -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/ice40/gui -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/ice40/gui/nextpnr-ice40-gui_autogen/include -I/gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/include/python3.11 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/ice40 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/frontend -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/json -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/QtPropertyBrowser/src -I/gnu/store/lkr00hf38xnc265pziwm68bpii3xdg8q-imgui-1.86/include/imgui -I/gnu/store/ny8visn9x0y1r3bfylrirfbjprg3nh15-qtimgui-0.0-0.48d64a7/include/qtimgui -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/../3rdparty/python-console -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/../3rdparty/python-console/modified -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/ice40 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/common -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtWidgets -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtGui -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtCore -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/lib/qt5/mkspecs/linux-g++ -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtOpenGL -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -fdiagnostics-color=always -fPIC -MD -MT ice40/gui/CMakeFiles/nextpnr-ice40-gui.dir/treemodel.cc.o -MF CMakeFiles/nextpnr-ice40-gui.dir/treemodel.cc.o.d -o CMakeFiles/nextpnr-ice40-gui.dir/treemodel.cc.o -c /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/treemodel.cc cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/ice40/gui && /gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DNO_RUST -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/ice40/gui -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/ice40/gui/nextpnr-ice40-gui_autogen/include -I/gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/include/python3.11 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/ice40 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/frontend -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/json -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/QtPropertyBrowser/src -I/gnu/store/lkr00hf38xnc265pziwm68bpii3xdg8q-imgui-1.86/include/imgui -I/gnu/store/ny8visn9x0y1r3bfylrirfbjprg3nh15-qtimgui-0.0-0.48d64a7/include/qtimgui -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/../3rdparty/python-console -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/../3rdparty/python-console/modified -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/ice40 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/common -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtWidgets -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtGui -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtCore -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/lib/qt5/mkspecs/linux-g++ -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtOpenGL -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -fdiagnostics-color=always -fPIC -MD -MT ice40/gui/CMakeFiles/nextpnr-ice40-gui.dir/worker.cc.o -MF CMakeFiles/nextpnr-ice40-gui.dir/worker.cc.o.d -o CMakeFiles/nextpnr-ice40-gui.dir/worker.cc.o -c /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/worker.cc [ 10%] Building CXX object ice40/gui/CMakeFiles/nextpnr-ice40-gui.dir/__/3rdparty/python-console/ParseHelper.BlockParseState.cpp.o [ 11%] Building CXX object ice40/gui/CMakeFiles/nextpnr-ice40-gui.dir/__/3rdparty/python-console/ColumnFormatter.cpp.o cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/ice40/gui && /gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DNO_RUST -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/ice40/gui -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/ice40/gui/nextpnr-ice40-gui_autogen/include -I/gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/include/python3.11 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/ice40 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/frontend -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/json -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/QtPropertyBrowser/src -I/gnu/store/lkr00hf38xnc265pziwm68bpii3xdg8q-imgui-1.86/include/imgui -I/gnu/store/ny8visn9x0y1r3bfylrirfbjprg3nh15-qtimgui-0.0-0.48d64a7/include/qtimgui -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/../3rdparty/python-console -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/../3rdparty/python-console/modified -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/ice40 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/common -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtWidgets -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtGui -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtCore -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/lib/qt5/mkspecs/linux-g++ -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtOpenGL -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -fdiagnostics-color=always -fPIC -MD -MT ice40/gui/CMakeFiles/nextpnr-ice40-gui.dir/qrc_base.cpp.o -MF CMakeFiles/nextpnr-ice40-gui.dir/qrc_base.cpp.o.d -o CMakeFiles/nextpnr-ice40-gui.dir/qrc_base.cpp.o -c /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/ice40/gui/qrc_base.cpp [ 11%] Building CXX object ice40/gui/CMakeFiles/nextpnr-ice40-gui.dir/qrc_nextpnr.cpp.o [ 11%] Building CXX object ice40/gui/CMakeFiles/nextpnr-ice40-gui.dir/__/3rdparty/python-console/ParseHelper.cpp.o cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/ice40/gui && /gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DNO_RUST -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/ice40/gui -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/ice40/gui/nextpnr-ice40-gui_autogen/include -I/gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/include/python3.11 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/ice40 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/frontend -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/json -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/QtPropertyBrowser/src -I/gnu/store/lkr00hf38xnc265pziwm68bpii3xdg8q-imgui-1.86/include/imgui -I/gnu/store/ny8visn9x0y1r3bfylrirfbjprg3nh15-qtimgui-0.0-0.48d64a7/include/qtimgui -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/../3rdparty/python-console -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/../3rdparty/python-console/modified -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/ice40 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/common -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtWidgets -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtGui -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtCore -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/lib/qt5/mkspecs/linux-g++ -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtOpenGL -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -fdiagnostics-color=always -fPIC -MD -MT ice40/gui/CMakeFiles/nextpnr-ice40-gui.dir/ice40/mainwindow.cc.o -MF CMakeFiles/nextpnr-ice40-gui.dir/ice40/mainwindow.cc.o.d -o CMakeFiles/nextpnr-ice40-gui.dir/ice40/mainwindow.cc.o -c /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/ice40/mainwindow.cc cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/ice40/gui && /gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DNO_RUST -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/ice40/gui -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/ice40/gui/nextpnr-ice40-gui_autogen/include -I/gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/include/python3.11 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/ice40 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/frontend -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/json -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/QtPropertyBrowser/src -I/gnu/store/lkr00hf38xnc265pziwm68bpii3xdg8q-imgui-1.86/include/imgui -I/gnu/store/ny8visn9x0y1r3bfylrirfbjprg3nh15-qtimgui-0.0-0.48d64a7/include/qtimgui -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/../3rdparty/python-console -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/../3rdparty/python-console/modified -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/ice40 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/common -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtWidgets -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtGui -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtCore -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/lib/qt5/mkspecs/linux-g++ -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtOpenGL -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -fdiagnostics-color=always -fPIC -MD -MT ice40/gui/CMakeFiles/nextpnr-ice40-gui.dir/__/3rdparty/python-console/ParseHelper.BlockParseState.cpp.o -MF CMakeFiles/nextpnr-ice40-gui.dir/__/3rdparty/python-console/ParseHelper.BlockParseState.cpp.o.d -o CMakeFiles/nextpnr-ice40-gui.dir/__/3rdparty/python-console/ParseHelper.BlockParseState.cpp.o -c /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/python-console/ParseHelper.BlockParseState.cpp make[2]: Leaving directory '/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build' [ 11%] Building CXX object ice40/gui/CMakeFiles/nextpnr-ice40-gui.dir/__/3rdparty/python-console/ParseHelper.BracketParseState.cpp.o cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/ice40/gui && /gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DNO_RUST -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/ice40/gui -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/ice40/gui/nextpnr-ice40-gui_autogen/include -I/gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/include/python3.11 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/ice40 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/frontend -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/json -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/QtPropertyBrowser/src -I/gnu/store/lkr00hf38xnc265pziwm68bpii3xdg8q-imgui-1.86/include/imgui -I/gnu/store/ny8visn9x0y1r3bfylrirfbjprg3nh15-qtimgui-0.0-0.48d64a7/include/qtimgui -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/../3rdparty/python-console -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/../3rdparty/python-console/modified -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/ice40 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/common -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtWidgets -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtGui -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtCore -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/lib/qt5/mkspecs/linux-g++ -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtOpenGL -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -fdiagnostics-color=always -fPIC -MD -MT ice40/gui/CMakeFiles/nextpnr-ice40-gui.dir/qrc_nextpnr.cpp.o -MF CMakeFiles/nextpnr-ice40-gui.dir/qrc_nextpnr.cpp.o.d -o CMakeFiles/nextpnr-ice40-gui.dir/qrc_nextpnr.cpp.o -c /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/ice40/gui/qrc_nextpnr.cpp /gnu/store/mx9i9dnjx34lkx8k8r79876q2f5dclvr-make-4.4.1/bin/make -f generic/gui/CMakeFiles/nextpnr-generic-gui.dir/build.make generic/gui/CMakeFiles/nextpnr-generic-gui.dir/build cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/ice40/gui && /gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DNO_RUST -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/ice40/gui -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/ice40/gui/nextpnr-ice40-gui_autogen/include -I/gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/include/python3.11 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/ice40 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/frontend -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/json -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/QtPropertyBrowser/src -I/gnu/store/lkr00hf38xnc265pziwm68bpii3xdg8q-imgui-1.86/include/imgui -I/gnu/store/ny8visn9x0y1r3bfylrirfbjprg3nh15-qtimgui-0.0-0.48d64a7/include/qtimgui -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/../3rdparty/python-console -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/../3rdparty/python-console/modified -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/ice40 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/common -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtWidgets -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtGui -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtCore -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/lib/qt5/mkspecs/linux-g++ -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtOpenGL -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -fdiagnostics-color=always -fPIC -MD -MT ice40/gui/CMakeFiles/nextpnr-ice40-gui.dir/__/3rdparty/python-console/ColumnFormatter.cpp.o -MF CMakeFiles/nextpnr-ice40-gui.dir/__/3rdparty/python-console/ColumnFormatter.cpp.o.d -o CMakeFiles/nextpnr-ice40-gui.dir/__/3rdparty/python-console/ColumnFormatter.cpp.o -c /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/python-console/ColumnFormatter.cpp cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/ice40/gui && /gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DNO_RUST -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/ice40/gui -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/ice40/gui/nextpnr-ice40-gui_autogen/include -I/gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/include/python3.11 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/ice40 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/frontend -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/json -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/QtPropertyBrowser/src -I/gnu/store/lkr00hf38xnc265pziwm68bpii3xdg8q-imgui-1.86/include/imgui -I/gnu/store/ny8visn9x0y1r3bfylrirfbjprg3nh15-qtimgui-0.0-0.48d64a7/include/qtimgui -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/../3rdparty/python-console -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/../3rdparty/python-console/modified -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/ice40 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/common -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtWidgets -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtGui -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtCore -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/lib/qt5/mkspecs/linux-g++ -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtOpenGL -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -fdiagnostics-color=always -fPIC -MD -MT ice40/gui/CMakeFiles/nextpnr-ice40-gui.dir/__/3rdparty/python-console/ParseHelper.cpp.o -MF CMakeFiles/nextpnr-ice40-gui.dir/__/3rdparty/python-console/ParseHelper.cpp.o.d -o CMakeFiles/nextpnr-ice40-gui.dir/__/3rdparty/python-console/ParseHelper.cpp.o -c /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/python-console/ParseHelper.cpp cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/ice40/gui && /gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DNO_RUST -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/ice40/gui -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/ice40/gui/nextpnr-ice40-gui_autogen/include -I/gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/include/python3.11 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/ice40 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/frontend -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/json -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/QtPropertyBrowser/src -I/gnu/store/lkr00hf38xnc265pziwm68bpii3xdg8q-imgui-1.86/include/imgui -I/gnu/store/ny8visn9x0y1r3bfylrirfbjprg3nh15-qtimgui-0.0-0.48d64a7/include/qtimgui -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/../3rdparty/python-console -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/../3rdparty/python-console/modified -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/ice40 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/common -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtWidgets -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtGui -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtCore -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/lib/qt5/mkspecs/linux-g++ -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtOpenGL -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -fdiagnostics-color=always -fPIC -MD -MT ice40/gui/CMakeFiles/nextpnr-ice40-gui.dir/__/3rdparty/python-console/ParseHelper.BracketParseState.cpp.o -MF CMakeFiles/nextpnr-ice40-gui.dir/__/3rdparty/python-console/ParseHelper.BracketParseState.cpp.o.d -o CMakeFiles/nextpnr-ice40-gui.dir/__/3rdparty/python-console/ParseHelper.BracketParseState.cpp.o -c /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/python-console/ParseHelper.BracketParseState.cpp [ 11%] Building CXX object ice40/gui/CMakeFiles/nextpnr-ice40-gui.dir/__/3rdparty/python-console/ParseHelper.ContinuationParseState.cpp.o [ 11%] Building CXX object ice40/gui/CMakeFiles/nextpnr-ice40-gui.dir/__/3rdparty/python-console/ParseMessage.cpp.o [ 11%] Building CXX object ice40/gui/CMakeFiles/nextpnr-ice40-gui.dir/__/3rdparty/python-console/modified/pyredirector.cc.o [ 11%] Building CXX object ice40/gui/CMakeFiles/nextpnr-ice40-gui.dir/__/3rdparty/python-console/modified/pyinterpreter.cc.o cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/ice40/gui && /gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DNO_RUST -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/ice40/gui -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/ice40/gui/nextpnr-ice40-gui_autogen/include -I/gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/include/python3.11 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/ice40 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/frontend -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/json -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/QtPropertyBrowser/src -I/gnu/store/lkr00hf38xnc265pziwm68bpii3xdg8q-imgui-1.86/include/imgui -I/gnu/store/ny8visn9x0y1r3bfylrirfbjprg3nh15-qtimgui-0.0-0.48d64a7/include/qtimgui -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/../3rdparty/python-console -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/../3rdparty/python-console/modified -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/ice40 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/common -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtWidgets -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtGui -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtCore -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/lib/qt5/mkspecs/linux-g++ -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtOpenGL -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -fdiagnostics-color=always -fPIC -MD -MT ice40/gui/CMakeFiles/nextpnr-ice40-gui.dir/__/3rdparty/python-console/ParseMessage.cpp.o -MF CMakeFiles/nextpnr-ice40-gui.dir/__/3rdparty/python-console/ParseMessage.cpp.o.d -o CMakeFiles/nextpnr-ice40-gui.dir/__/3rdparty/python-console/ParseMessage.cpp.o -c /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/python-console/ParseMessage.cpp cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/ice40/gui && /gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DNO_RUST -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/ice40/gui -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/ice40/gui/nextpnr-ice40-gui_autogen/include -I/gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/include/python3.11 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/ice40 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/frontend -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/json -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/QtPropertyBrowser/src -I/gnu/store/lkr00hf38xnc265pziwm68bpii3xdg8q-imgui-1.86/include/imgui -I/gnu/store/ny8visn9x0y1r3bfylrirfbjprg3nh15-qtimgui-0.0-0.48d64a7/include/qtimgui -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/../3rdparty/python-console -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/../3rdparty/python-console/modified -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/ice40 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/common -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtWidgets -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtGui -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtCore -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/lib/qt5/mkspecs/linux-g++ -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtOpenGL -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -fdiagnostics-color=always -fPIC -MD -MT ice40/gui/CMakeFiles/nextpnr-ice40-gui.dir/__/3rdparty/python-console/ParseHelper.ContinuationParseState.cpp.o -MF CMakeFiles/nextpnr-ice40-gui.dir/__/3rdparty/python-console/ParseHelper.ContinuationParseState.cpp.o.d -o CMakeFiles/nextpnr-ice40-gui.dir/__/3rdparty/python-console/ParseHelper.ContinuationParseState.cpp.o -c /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/python-console/ParseHelper.ContinuationParseState.cpp cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/ice40/gui && /gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DNO_RUST -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/ice40/gui -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/ice40/gui/nextpnr-ice40-gui_autogen/include -I/gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/include/python3.11 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/ice40 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/frontend -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/json -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/QtPropertyBrowser/src -I/gnu/store/lkr00hf38xnc265pziwm68bpii3xdg8q-imgui-1.86/include/imgui -I/gnu/store/ny8visn9x0y1r3bfylrirfbjprg3nh15-qtimgui-0.0-0.48d64a7/include/qtimgui -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/../3rdparty/python-console -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/../3rdparty/python-console/modified -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/ice40 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/common -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtWidgets -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtGui -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtCore -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/lib/qt5/mkspecs/linux-g++ -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtOpenGL -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -fdiagnostics-color=always -fPIC -MD -MT ice40/gui/CMakeFiles/nextpnr-ice40-gui.dir/__/3rdparty/python-console/modified/pyredirector.cc.o -MF CMakeFiles/nextpnr-ice40-gui.dir/__/3rdparty/python-console/modified/pyredirector.cc.o.d -o CMakeFiles/nextpnr-ice40-gui.dir/__/3rdparty/python-console/modified/pyredirector.cc.o -c /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/python-console/modified/pyredirector.cc cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/ice40/gui && /gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DNO_RUST -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/ice40/gui -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/ice40/gui/nextpnr-ice40-gui_autogen/include -I/gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/include/python3.11 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/ice40 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/frontend -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/json -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/QtPropertyBrowser/src -I/gnu/store/lkr00hf38xnc265pziwm68bpii3xdg8q-imgui-1.86/include/imgui -I/gnu/store/ny8visn9x0y1r3bfylrirfbjprg3nh15-qtimgui-0.0-0.48d64a7/include/qtimgui -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/../3rdparty/python-console -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/../3rdparty/python-console/modified -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/ice40 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/common -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtWidgets -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtGui -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtCore -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/lib/qt5/mkspecs/linux-g++ -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtOpenGL -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -fdiagnostics-color=always -fPIC -MD -MT ice40/gui/CMakeFiles/nextpnr-ice40-gui.dir/__/3rdparty/python-console/modified/pyinterpreter.cc.o -MF CMakeFiles/nextpnr-ice40-gui.dir/__/3rdparty/python-console/modified/pyinterpreter.cc.o.d -o CMakeFiles/nextpnr-ice40-gui.dir/__/3rdparty/python-console/modified/pyinterpreter.cc.o -c /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/python-console/modified/pyinterpreter.cc make[2]: Entering directory '/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build' cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build && /gnu/store/d5c8i3b549r412lkhddggyfx9mqdphs7-cmake-minimal-3.31.10/bin/cmake -E cmake_depends "Unix Makefiles" /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/ecp5/gui /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/ecp5/gui/CMakeFiles/nextpnr-ecp5-gui.dir/DependInfo.cmake "--color=" AutoMoc: Reading dependencies from "BIN:/himbaechel/uarch/gowin/gui/nextpnr-himbaechel-gowin-gui_autogen/EWIEGA46WW/moc_worker.cpp.d" AutoMoc: Generating MOC compilation "BIN:/himbaechel/uarch/gowin/gui/nextpnr-himbaechel-gowin-gui_autogen/mocs_compilation.cpp" AutoMoc: Merging MOC dependencies into "BIN:/himbaechel/uarch/gowin/gui/nextpnr-himbaechel-gowin-gui_autogen/deps" [ 11%] Building CXX object generic/gui/CMakeFiles/nextpnr-generic-gui.dir/nextpnr-generic-gui_autogen/mocs_compilation.cpp.o [ 11%] Building CXX object generic/gui/CMakeFiles/nextpnr-generic-gui.dir/basewindow.cc.o [ 11%] Building CXX object generic/gui/CMakeFiles/nextpnr-generic-gui.dir/application.cc.o cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/generic/gui && /gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/bin/c++ -DARCHNAME=generic -DARCH_GENERIC -DNEXTPNR_NAMESPACE=nextpnr_generic -DNO_RUST -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/generic/gui -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/generic/gui/nextpnr-generic-gui_autogen/include -I/gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/include/python3.11 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/generic -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/frontend -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/json -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/QtPropertyBrowser/src -I/gnu/store/lkr00hf38xnc265pziwm68bpii3xdg8q-imgui-1.86/include/imgui -I/gnu/store/ny8visn9x0y1r3bfylrirfbjprg3nh15-qtimgui-0.0-0.48d64a7/include/qtimgui -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/../3rdparty/python-console -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/../3rdparty/python-console/modified -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/generic -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/common -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtWidgets -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtGui -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtCore -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/lib/qt5/mkspecs/linux-g++ -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtOpenGL -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -fdiagnostics-color=always -fPIC -MD -MT generic/gui/CMakeFiles/nextpnr-generic-gui.dir/application.cc.o -MF CMakeFiles/nextpnr-generic-gui.dir/application.cc.o.d -o CMakeFiles/nextpnr-generic-gui.dir/application.cc.o -c /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/application.cc [ 12%] Building CXX object generic/gui/CMakeFiles/nextpnr-generic-gui.dir/fpgaviewwidget.cc.o [ 12%] Building CXX object generic/gui/CMakeFiles/nextpnr-generic-gui.dir/designwidget.cc.o cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/generic/gui && /gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/bin/c++ -DARCHNAME=generic -DARCH_GENERIC -DNEXTPNR_NAMESPACE=nextpnr_generic -DNO_RUST -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/generic/gui -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/generic/gui/nextpnr-generic-gui_autogen/include -I/gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/include/python3.11 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/generic -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/frontend -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/json -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/QtPropertyBrowser/src -I/gnu/store/lkr00hf38xnc265pziwm68bpii3xdg8q-imgui-1.86/include/imgui -I/gnu/store/ny8visn9x0y1r3bfylrirfbjprg3nh15-qtimgui-0.0-0.48d64a7/include/qtimgui -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/../3rdparty/python-console -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/../3rdparty/python-console/modified -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/generic -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/common -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtWidgets -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtGui -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtCore -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/lib/qt5/mkspecs/linux-g++ -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtOpenGL -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -fdiagnostics-color=always -fPIC -MD -MT generic/gui/CMakeFiles/nextpnr-generic-gui.dir/nextpnr-generic-gui_autogen/mocs_compilation.cpp.o -MF CMakeFiles/nextpnr-generic-gui.dir/nextpnr-generic-gui_autogen/mocs_compilation.cpp.o.d -o CMakeFiles/nextpnr-generic-gui.dir/nextpnr-generic-gui_autogen/mocs_compilation.cpp.o -c /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/generic/gui/nextpnr-generic-gui_autogen/mocs_compilation.cpp cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/generic/gui && /gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/bin/c++ -DARCHNAME=generic -DARCH_GENERIC -DNEXTPNR_NAMESPACE=nextpnr_generic -DNO_RUST -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/generic/gui -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/generic/gui/nextpnr-generic-gui_autogen/include -I/gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/include/python3.11 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/generic -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/frontend -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/json -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/QtPropertyBrowser/src -I/gnu/store/lkr00hf38xnc265pziwm68bpii3xdg8q-imgui-1.86/include/imgui -I/gnu/store/ny8visn9x0y1r3bfylrirfbjprg3nh15-qtimgui-0.0-0.48d64a7/include/qtimgui -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/../3rdparty/python-console -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/../3rdparty/python-console/modified -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/generic -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/common -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtWidgets -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtGui -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtCore -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/lib/qt5/mkspecs/linux-g++ -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtOpenGL -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -fdiagnostics-color=always -fPIC -MD -MT generic/gui/CMakeFiles/nextpnr-generic-gui.dir/basewindow.cc.o -MF CMakeFiles/nextpnr-generic-gui.dir/basewindow.cc.o.d -o CMakeFiles/nextpnr-generic-gui.dir/basewindow.cc.o -c /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/basewindow.cc cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/generic/gui && /gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/bin/c++ -DARCHNAME=generic -DARCH_GENERIC -DNEXTPNR_NAMESPACE=nextpnr_generic -DNO_RUST -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/generic/gui -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/generic/gui/nextpnr-generic-gui_autogen/include -I/gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/include/python3.11 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/generic -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/frontend -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/json -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/QtPropertyBrowser/src -I/gnu/store/lkr00hf38xnc265pziwm68bpii3xdg8q-imgui-1.86/include/imgui -I/gnu/store/ny8visn9x0y1r3bfylrirfbjprg3nh15-qtimgui-0.0-0.48d64a7/include/qtimgui -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/../3rdparty/python-console -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/../3rdparty/python-console/modified -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/generic -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/common -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtWidgets -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtGui -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtCore -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/lib/qt5/mkspecs/linux-g++ -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtOpenGL -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -fdiagnostics-color=always -fPIC -MD -MT generic/gui/CMakeFiles/nextpnr-generic-gui.dir/fpgaviewwidget.cc.o -MF CMakeFiles/nextpnr-generic-gui.dir/fpgaviewwidget.cc.o.d -o CMakeFiles/nextpnr-generic-gui.dir/fpgaviewwidget.cc.o -c /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/fpgaviewwidget.cc cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/generic/gui && /gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/bin/c++ -DARCHNAME=generic -DARCH_GENERIC -DNEXTPNR_NAMESPACE=nextpnr_generic -DNO_RUST -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/generic/gui -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/generic/gui/nextpnr-generic-gui_autogen/include -I/gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/include/python3.11 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/generic -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/frontend -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/json -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/QtPropertyBrowser/src -I/gnu/store/lkr00hf38xnc265pziwm68bpii3xdg8q-imgui-1.86/include/imgui -I/gnu/store/ny8visn9x0y1r3bfylrirfbjprg3nh15-qtimgui-0.0-0.48d64a7/include/qtimgui -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/../3rdparty/python-console -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/../3rdparty/python-console/modified -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/generic -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/common -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtWidgets -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtGui -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtCore -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/lib/qt5/mkspecs/linux-g++ -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtOpenGL -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -fdiagnostics-color=always -fPIC -MD -MT generic/gui/CMakeFiles/nextpnr-generic-gui.dir/designwidget.cc.o -MF CMakeFiles/nextpnr-generic-gui.dir/designwidget.cc.o.d -o CMakeFiles/nextpnr-generic-gui.dir/designwidget.cc.o -c /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/designwidget.cc AutoMoc: Reading dependencies from "BIN:/himbaechel/uarch/ng-ultra/gui/nextpnr-himbaechel-ng-ultra-gui_autogen/EWIEGA46WW/moc_worker.cpp.d" [ 12%] Building CXX object generic/gui/CMakeFiles/nextpnr-generic-gui.dir/pythontab.cc.o [ 12%] Building CXX object generic/gui/CMakeFiles/nextpnr-generic-gui.dir/pyconsole.cc.o [ 12%] Building CXX object generic/gui/CMakeFiles/nextpnr-generic-gui.dir/lineshader.cc.o [ 12%] Building CXX object generic/gui/CMakeFiles/nextpnr-generic-gui.dir/line_editor.cc.o [ 12%] Building CXX object generic/gui/CMakeFiles/nextpnr-generic-gui.dir/treemodel.cc.o cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/generic/gui && /gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/bin/c++ -DARCHNAME=generic -DARCH_GENERIC -DNEXTPNR_NAMESPACE=nextpnr_generic -DNO_RUST -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/generic/gui -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/generic/gui/nextpnr-generic-gui_autogen/include -I/gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/include/python3.11 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/generic -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/frontend -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/json -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/QtPropertyBrowser/src -I/gnu/store/lkr00hf38xnc265pziwm68bpii3xdg8q-imgui-1.86/include/imgui -I/gnu/store/ny8visn9x0y1r3bfylrirfbjprg3nh15-qtimgui-0.0-0.48d64a7/include/qtimgui -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/../3rdparty/python-console -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/../3rdparty/python-console/modified -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/generic -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/common -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtWidgets -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtGui -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtCore -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/lib/qt5/mkspecs/linux-g++ -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtOpenGL -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -fdiagnostics-color=always -fPIC -MD -MT generic/gui/CMakeFiles/nextpnr-generic-gui.dir/lineshader.cc.o -MF CMakeFiles/nextpnr-generic-gui.dir/lineshader.cc.o.d -o CMakeFiles/nextpnr-generic-gui.dir/lineshader.cc.o -c /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/lineshader.cc [ 12%] Building CXX object generic/gui/CMakeFiles/nextpnr-generic-gui.dir/worker.cc.o make[2]: Leaving directory '/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build' cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/generic/gui && /gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/bin/c++ -DARCHNAME=generic -DARCH_GENERIC -DNEXTPNR_NAMESPACE=nextpnr_generic -DNO_RUST -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/generic/gui -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/generic/gui/nextpnr-generic-gui_autogen/include -I/gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/include/python3.11 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/generic -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/frontend -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/json -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/QtPropertyBrowser/src -I/gnu/store/lkr00hf38xnc265pziwm68bpii3xdg8q-imgui-1.86/include/imgui -I/gnu/store/ny8visn9x0y1r3bfylrirfbjprg3nh15-qtimgui-0.0-0.48d64a7/include/qtimgui -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/../3rdparty/python-console -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/../3rdparty/python-console/modified -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/generic -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/common -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtWidgets -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtGui -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtCore -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/lib/qt5/mkspecs/linux-g++ -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtOpenGL -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -fdiagnostics-color=always -fPIC -MD -MT generic/gui/CMakeFiles/nextpnr-generic-gui.dir/line_editor.cc.o -MF CMakeFiles/nextpnr-generic-gui.dir/line_editor.cc.o.d -o CMakeFiles/nextpnr-generic-gui.dir/line_editor.cc.o -c /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/line_editor.cc cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/generic/gui && /gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/bin/c++ -DARCHNAME=generic -DARCH_GENERIC -DNEXTPNR_NAMESPACE=nextpnr_generic -DNO_RUST -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/generic/gui -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/generic/gui/nextpnr-generic-gui_autogen/include -I/gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/include/python3.11 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/generic -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/frontend -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/json -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/QtPropertyBrowser/src -I/gnu/store/lkr00hf38xnc265pziwm68bpii3xdg8q-imgui-1.86/include/imgui -I/gnu/store/ny8visn9x0y1r3bfylrirfbjprg3nh15-qtimgui-0.0-0.48d64a7/include/qtimgui -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/../3rdparty/python-console -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/../3rdparty/python-console/modified -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/generic -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/common -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtWidgets -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtGui -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtCore -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/lib/qt5/mkspecs/linux-g++ -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtOpenGL -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -fdiagnostics-color=always -fPIC -MD -MT generic/gui/CMakeFiles/nextpnr-generic-gui.dir/pyconsole.cc.o -MF CMakeFiles/nextpnr-generic-gui.dir/pyconsole.cc.o.d -o CMakeFiles/nextpnr-generic-gui.dir/pyconsole.cc.o -c /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/pyconsole.cc /gnu/store/mx9i9dnjx34lkx8k8r79876q2f5dclvr-make-4.4.1/bin/make -f ecp5/gui/CMakeFiles/nextpnr-ecp5-gui.dir/build.make ecp5/gui/CMakeFiles/nextpnr-ecp5-gui.dir/build cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/generic/gui && /gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/bin/c++ -DARCHNAME=generic -DARCH_GENERIC -DNEXTPNR_NAMESPACE=nextpnr_generic -DNO_RUST -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/generic/gui -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/generic/gui/nextpnr-generic-gui_autogen/include -I/gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/include/python3.11 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/generic -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/frontend -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/json -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/QtPropertyBrowser/src -I/gnu/store/lkr00hf38xnc265pziwm68bpii3xdg8q-imgui-1.86/include/imgui -I/gnu/store/ny8visn9x0y1r3bfylrirfbjprg3nh15-qtimgui-0.0-0.48d64a7/include/qtimgui -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/../3rdparty/python-console -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/../3rdparty/python-console/modified -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/generic -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/common -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtWidgets -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtGui -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtCore -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/lib/qt5/mkspecs/linux-g++ -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtOpenGL -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -fdiagnostics-color=always -fPIC -MD -MT generic/gui/CMakeFiles/nextpnr-generic-gui.dir/pythontab.cc.o -MF CMakeFiles/nextpnr-generic-gui.dir/pythontab.cc.o.d -o CMakeFiles/nextpnr-generic-gui.dir/pythontab.cc.o -c /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/pythontab.cc [ 13%] Building CXX object generic/gui/CMakeFiles/nextpnr-generic-gui.dir/generic/mainwindow.cc.o [ 13%] Building CXX object generic/gui/CMakeFiles/nextpnr-generic-gui.dir/qrc_nextpnr.cpp.o [ 13%] Building CXX object generic/gui/CMakeFiles/nextpnr-generic-gui.dir/qrc_base.cpp.o [ 13%] Building CXX object generic/gui/CMakeFiles/nextpnr-generic-gui.dir/__/3rdparty/python-console/ColumnFormatter.cpp.o cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/generic/gui && /gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/bin/c++ -DARCHNAME=generic -DARCH_GENERIC -DNEXTPNR_NAMESPACE=nextpnr_generic -DNO_RUST -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/generic/gui -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/generic/gui/nextpnr-generic-gui_autogen/include -I/gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/include/python3.11 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/generic -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/frontend -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/json -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/QtPropertyBrowser/src -I/gnu/store/lkr00hf38xnc265pziwm68bpii3xdg8q-imgui-1.86/include/imgui -I/gnu/store/ny8visn9x0y1r3bfylrirfbjprg3nh15-qtimgui-0.0-0.48d64a7/include/qtimgui -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/../3rdparty/python-console -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/../3rdparty/python-console/modified -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/generic -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/common -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtWidgets -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtGui -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtCore -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/lib/qt5/mkspecs/linux-g++ -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtOpenGL -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -fdiagnostics-color=always -fPIC -MD -MT generic/gui/CMakeFiles/nextpnr-generic-gui.dir/treemodel.cc.o -MF CMakeFiles/nextpnr-generic-gui.dir/treemodel.cc.o.d -o CMakeFiles/nextpnr-generic-gui.dir/treemodel.cc.o -c /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/treemodel.cc cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/generic/gui && /gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/bin/c++ -DARCHNAME=generic -DARCH_GENERIC -DNEXTPNR_NAMESPACE=nextpnr_generic -DNO_RUST -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/generic/gui -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/generic/gui/nextpnr-generic-gui_autogen/include -I/gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/include/python3.11 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/generic -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/frontend -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/json -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/QtPropertyBrowser/src -I/gnu/store/lkr00hf38xnc265pziwm68bpii3xdg8q-imgui-1.86/include/imgui -I/gnu/store/ny8visn9x0y1r3bfylrirfbjprg3nh15-qtimgui-0.0-0.48d64a7/include/qtimgui -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/../3rdparty/python-console -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/../3rdparty/python-console/modified -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/generic -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/common -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtWidgets -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtGui -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtCore -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/lib/qt5/mkspecs/linux-g++ -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtOpenGL -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -fdiagnostics-color=always -fPIC -MD -MT generic/gui/CMakeFiles/nextpnr-generic-gui.dir/worker.cc.o -MF CMakeFiles/nextpnr-generic-gui.dir/worker.cc.o.d -o CMakeFiles/nextpnr-generic-gui.dir/worker.cc.o -c /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/worker.cc [ 13%] Building CXX object generic/gui/CMakeFiles/nextpnr-generic-gui.dir/__/3rdparty/python-console/ParseHelper.cpp.o cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/generic/gui && /gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/bin/c++ -DARCHNAME=generic -DARCH_GENERIC -DNEXTPNR_NAMESPACE=nextpnr_generic -DNO_RUST -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/generic/gui -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/generic/gui/nextpnr-generic-gui_autogen/include -I/gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/include/python3.11 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/generic -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/frontend -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/json -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/QtPropertyBrowser/src -I/gnu/store/lkr00hf38xnc265pziwm68bpii3xdg8q-imgui-1.86/include/imgui -I/gnu/store/ny8visn9x0y1r3bfylrirfbjprg3nh15-qtimgui-0.0-0.48d64a7/include/qtimgui -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/../3rdparty/python-console -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/../3rdparty/python-console/modified -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/generic -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/common -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtWidgets -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtGui -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtCore -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/lib/qt5/mkspecs/linux-g++ -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtOpenGL -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -fdiagnostics-color=always -fPIC -MD -MT generic/gui/CMakeFiles/nextpnr-generic-gui.dir/__/3rdparty/python-console/ColumnFormatter.cpp.o -MF CMakeFiles/nextpnr-generic-gui.dir/__/3rdparty/python-console/ColumnFormatter.cpp.o.d -o CMakeFiles/nextpnr-generic-gui.dir/__/3rdparty/python-console/ColumnFormatter.cpp.o -c /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/python-console/ColumnFormatter.cpp cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/generic/gui && /gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/bin/c++ -DARCHNAME=generic -DARCH_GENERIC -DNEXTPNR_NAMESPACE=nextpnr_generic -DNO_RUST -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/generic/gui -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/generic/gui/nextpnr-generic-gui_autogen/include -I/gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/include/python3.11 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/generic -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/frontend -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/json -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/QtPropertyBrowser/src -I/gnu/store/lkr00hf38xnc265pziwm68bpii3xdg8q-imgui-1.86/include/imgui -I/gnu/store/ny8visn9x0y1r3bfylrirfbjprg3nh15-qtimgui-0.0-0.48d64a7/include/qtimgui -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/../3rdparty/python-console -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/../3rdparty/python-console/modified -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/generic -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/common -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtWidgets -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtGui -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtCore -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/lib/qt5/mkspecs/linux-g++ -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtOpenGL -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -fdiagnostics-color=always -fPIC -MD -MT generic/gui/CMakeFiles/nextpnr-generic-gui.dir/generic/mainwindow.cc.o -MF CMakeFiles/nextpnr-generic-gui.dir/generic/mainwindow.cc.o.d -o CMakeFiles/nextpnr-generic-gui.dir/generic/mainwindow.cc.o -c /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/generic/mainwindow.cc AutoMoc: Generating MOC compilation "BIN:/himbaechel/uarch/ng-ultra/gui/nextpnr-himbaechel-ng-ultra-gui_autogen/mocs_compilation.cpp" AutoMoc: Merging MOC dependencies into "BIN:/himbaechel/uarch/ng-ultra/gui/nextpnr-himbaechel-ng-ultra-gui_autogen/deps" cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/generic/gui && /gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/bin/c++ -DARCHNAME=generic -DARCH_GENERIC -DNEXTPNR_NAMESPACE=nextpnr_generic -DNO_RUST -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/generic/gui -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/generic/gui/nextpnr-generic-gui_autogen/include -I/gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/include/python3.11 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/generic -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/frontend -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/json -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/QtPropertyBrowser/src -I/gnu/store/lkr00hf38xnc265pziwm68bpii3xdg8q-imgui-1.86/include/imgui -I/gnu/store/ny8visn9x0y1r3bfylrirfbjprg3nh15-qtimgui-0.0-0.48d64a7/include/qtimgui -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/../3rdparty/python-console -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/../3rdparty/python-console/modified -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/generic -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/common -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtWidgets -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtGui -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtCore -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/lib/qt5/mkspecs/linux-g++ -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtOpenGL -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -fdiagnostics-color=always -fPIC -MD -MT generic/gui/CMakeFiles/nextpnr-generic-gui.dir/qrc_base.cpp.o -MF CMakeFiles/nextpnr-generic-gui.dir/qrc_base.cpp.o.d -o CMakeFiles/nextpnr-generic-gui.dir/qrc_base.cpp.o -c /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/generic/gui/qrc_base.cpp [ 13%] Building CXX object generic/gui/CMakeFiles/nextpnr-generic-gui.dir/__/3rdparty/python-console/ParseHelper.BlockParseState.cpp.o cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/generic/gui && /gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/bin/c++ -DARCHNAME=generic -DARCH_GENERIC -DNEXTPNR_NAMESPACE=nextpnr_generic -DNO_RUST -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/generic/gui -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/generic/gui/nextpnr-generic-gui_autogen/include -I/gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/include/python3.11 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/generic -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/frontend -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/json -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/QtPropertyBrowser/src -I/gnu/store/lkr00hf38xnc265pziwm68bpii3xdg8q-imgui-1.86/include/imgui -I/gnu/store/ny8visn9x0y1r3bfylrirfbjprg3nh15-qtimgui-0.0-0.48d64a7/include/qtimgui -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/../3rdparty/python-console -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/../3rdparty/python-console/modified -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/generic -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/common -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtWidgets -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtGui -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtCore -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/lib/qt5/mkspecs/linux-g++ -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtOpenGL -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -fdiagnostics-color=always -fPIC -MD -MT generic/gui/CMakeFiles/nextpnr-generic-gui.dir/qrc_nextpnr.cpp.o -MF CMakeFiles/nextpnr-generic-gui.dir/qrc_nextpnr.cpp.o.d -o CMakeFiles/nextpnr-generic-gui.dir/qrc_nextpnr.cpp.o -c /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/generic/gui/qrc_nextpnr.cpp cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/generic/gui && /gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/bin/c++ -DARCHNAME=generic -DARCH_GENERIC -DNEXTPNR_NAMESPACE=nextpnr_generic -DNO_RUST -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/generic/gui -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/generic/gui/nextpnr-generic-gui_autogen/include -I/gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/include/python3.11 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/generic -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/frontend -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/json -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/QtPropertyBrowser/src -I/gnu/store/lkr00hf38xnc265pziwm68bpii3xdg8q-imgui-1.86/include/imgui -I/gnu/store/ny8visn9x0y1r3bfylrirfbjprg3nh15-qtimgui-0.0-0.48d64a7/include/qtimgui -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/../3rdparty/python-console -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/../3rdparty/python-console/modified -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/generic -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/common -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtWidgets -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtGui -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtCore -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/lib/qt5/mkspecs/linux-g++ -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtOpenGL -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -fdiagnostics-color=always -fPIC -MD -MT generic/gui/CMakeFiles/nextpnr-generic-gui.dir/__/3rdparty/python-console/ParseHelper.cpp.o -MF CMakeFiles/nextpnr-generic-gui.dir/__/3rdparty/python-console/ParseHelper.cpp.o.d -o CMakeFiles/nextpnr-generic-gui.dir/__/3rdparty/python-console/ParseHelper.cpp.o -c /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/python-console/ParseHelper.cpp [ 13%] Building CXX object generic/gui/CMakeFiles/nextpnr-generic-gui.dir/__/3rdparty/python-console/modified/pyredirector.cc.o [ 13%] Building CXX object generic/gui/CMakeFiles/nextpnr-generic-gui.dir/__/3rdparty/python-console/ParseMessage.cpp.o [ 13%] Building CXX object generic/gui/CMakeFiles/nextpnr-generic-gui.dir/__/3rdparty/python-console/ParseHelper.ContinuationParseState.cpp.o make[2]: Entering directory '/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build' [ 13%] Building CXX object generic/gui/CMakeFiles/nextpnr-generic-gui.dir/__/3rdparty/python-console/ParseHelper.BracketParseState.cpp.o cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/generic/gui && /gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/bin/c++ -DARCHNAME=generic -DARCH_GENERIC -DNEXTPNR_NAMESPACE=nextpnr_generic -DNO_RUST -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/generic/gui -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/generic/gui/nextpnr-generic-gui_autogen/include -I/gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/include/python3.11 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/generic -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/frontend -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/json -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/QtPropertyBrowser/src -I/gnu/store/lkr00hf38xnc265pziwm68bpii3xdg8q-imgui-1.86/include/imgui -I/gnu/store/ny8visn9x0y1r3bfylrirfbjprg3nh15-qtimgui-0.0-0.48d64a7/include/qtimgui -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/../3rdparty/python-console -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/../3rdparty/python-console/modified -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/generic -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/common -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtWidgets -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtGui -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtCore -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/lib/qt5/mkspecs/linux-g++ -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtOpenGL -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -fdiagnostics-color=always -fPIC -MD -MT generic/gui/CMakeFiles/nextpnr-generic-gui.dir/__/3rdparty/python-console/ParseHelper.BlockParseState.cpp.o -MF CMakeFiles/nextpnr-generic-gui.dir/__/3rdparty/python-console/ParseHelper.BlockParseState.cpp.o.d -o CMakeFiles/nextpnr-generic-gui.dir/__/3rdparty/python-console/ParseHelper.BlockParseState.cpp.o -c /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/python-console/ParseHelper.BlockParseState.cpp cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/generic/gui && /gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/bin/c++ -DARCHNAME=generic -DARCH_GENERIC -DNEXTPNR_NAMESPACE=nextpnr_generic -DNO_RUST -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/generic/gui -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/generic/gui/nextpnr-generic-gui_autogen/include -I/gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/include/python3.11 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/generic -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/frontend -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/json -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/QtPropertyBrowser/src -I/gnu/store/lkr00hf38xnc265pziwm68bpii3xdg8q-imgui-1.86/include/imgui -I/gnu/store/ny8visn9x0y1r3bfylrirfbjprg3nh15-qtimgui-0.0-0.48d64a7/include/qtimgui -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/../3rdparty/python-console -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/../3rdparty/python-console/modified -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/generic -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/common -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtWidgets -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtGui -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtCore -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/lib/qt5/mkspecs/linux-g++ -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtOpenGL -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -fdiagnostics-color=always -fPIC -MD -MT generic/gui/CMakeFiles/nextpnr-generic-gui.dir/__/3rdparty/python-console/ParseMessage.cpp.o -MF CMakeFiles/nextpnr-generic-gui.dir/__/3rdparty/python-console/ParseMessage.cpp.o.d -o CMakeFiles/nextpnr-generic-gui.dir/__/3rdparty/python-console/ParseMessage.cpp.o -c /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/python-console/ParseMessage.cpp [ 13%] Building CXX object generic/gui/CMakeFiles/nextpnr-generic-gui.dir/__/3rdparty/python-console/modified/pyinterpreter.cc.o cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/generic/gui && /gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/bin/c++ -DARCHNAME=generic -DARCH_GENERIC -DNEXTPNR_NAMESPACE=nextpnr_generic -DNO_RUST -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/generic/gui -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/generic/gui/nextpnr-generic-gui_autogen/include -I/gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/include/python3.11 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/generic -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/frontend -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/json -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/QtPropertyBrowser/src -I/gnu/store/lkr00hf38xnc265pziwm68bpii3xdg8q-imgui-1.86/include/imgui -I/gnu/store/ny8visn9x0y1r3bfylrirfbjprg3nh15-qtimgui-0.0-0.48d64a7/include/qtimgui -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/../3rdparty/python-console -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/../3rdparty/python-console/modified -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/generic -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/common -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtWidgets -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtGui -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtCore -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/lib/qt5/mkspecs/linux-g++ -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtOpenGL -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -fdiagnostics-color=always -fPIC -MD -MT generic/gui/CMakeFiles/nextpnr-generic-gui.dir/__/3rdparty/python-console/ParseHelper.ContinuationParseState.cpp.o -MF CMakeFiles/nextpnr-generic-gui.dir/__/3rdparty/python-console/ParseHelper.ContinuationParseState.cpp.o.d -o CMakeFiles/nextpnr-generic-gui.dir/__/3rdparty/python-console/ParseHelper.ContinuationParseState.cpp.o -c /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/python-console/ParseHelper.ContinuationParseState.cpp cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/generic/gui && /gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/bin/c++ -DARCHNAME=generic -DARCH_GENERIC -DNEXTPNR_NAMESPACE=nextpnr_generic -DNO_RUST -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/generic/gui -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/generic/gui/nextpnr-generic-gui_autogen/include -I/gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/include/python3.11 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/generic -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/frontend -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/json -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/QtPropertyBrowser/src -I/gnu/store/lkr00hf38xnc265pziwm68bpii3xdg8q-imgui-1.86/include/imgui -I/gnu/store/ny8visn9x0y1r3bfylrirfbjprg3nh15-qtimgui-0.0-0.48d64a7/include/qtimgui -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/../3rdparty/python-console -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/../3rdparty/python-console/modified -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/generic -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/common -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtWidgets -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtGui -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtCore -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/lib/qt5/mkspecs/linux-g++ -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtOpenGL -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -fdiagnostics-color=always -fPIC -MD -MT generic/gui/CMakeFiles/nextpnr-generic-gui.dir/__/3rdparty/python-console/modified/pyredirector.cc.o -MF CMakeFiles/nextpnr-generic-gui.dir/__/3rdparty/python-console/modified/pyredirector.cc.o.d -o CMakeFiles/nextpnr-generic-gui.dir/__/3rdparty/python-console/modified/pyredirector.cc.o -c /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/python-console/modified/pyredirector.cc AutoMoc: Reading dependencies from "BIN:/himbaechel/uarch/gatemate/gui/nextpnr-himbaechel-gatemate-gui_autogen/EWIEGA46WW/moc_worker.cpp.d" cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/generic/gui && /gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/bin/c++ -DARCHNAME=generic -DARCH_GENERIC -DNEXTPNR_NAMESPACE=nextpnr_generic -DNO_RUST -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/generic/gui -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/generic/gui/nextpnr-generic-gui_autogen/include -I/gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/include/python3.11 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/generic -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/frontend -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/json -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/QtPropertyBrowser/src -I/gnu/store/lkr00hf38xnc265pziwm68bpii3xdg8q-imgui-1.86/include/imgui -I/gnu/store/ny8visn9x0y1r3bfylrirfbjprg3nh15-qtimgui-0.0-0.48d64a7/include/qtimgui -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/../3rdparty/python-console -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/../3rdparty/python-console/modified -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/generic -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/common -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtWidgets -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtGui -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtCore -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/lib/qt5/mkspecs/linux-g++ -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtOpenGL -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -fdiagnostics-color=always -fPIC -MD -MT generic/gui/CMakeFiles/nextpnr-generic-gui.dir/__/3rdparty/python-console/ParseHelper.BracketParseState.cpp.o -MF CMakeFiles/nextpnr-generic-gui.dir/__/3rdparty/python-console/ParseHelper.BracketParseState.cpp.o.d -o CMakeFiles/nextpnr-generic-gui.dir/__/3rdparty/python-console/ParseHelper.BracketParseState.cpp.o -c /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/python-console/ParseHelper.BracketParseState.cpp cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/generic/gui && /gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/bin/c++ -DARCHNAME=generic -DARCH_GENERIC -DNEXTPNR_NAMESPACE=nextpnr_generic -DNO_RUST -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/generic/gui -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/generic/gui/nextpnr-generic-gui_autogen/include -I/gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/include/python3.11 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/generic -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/frontend -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/json -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/QtPropertyBrowser/src -I/gnu/store/lkr00hf38xnc265pziwm68bpii3xdg8q-imgui-1.86/include/imgui -I/gnu/store/ny8visn9x0y1r3bfylrirfbjprg3nh15-qtimgui-0.0-0.48d64a7/include/qtimgui -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/../3rdparty/python-console -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/../3rdparty/python-console/modified -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/generic -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/common -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtWidgets -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtGui -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtCore -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/lib/qt5/mkspecs/linux-g++ -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtOpenGL -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -fdiagnostics-color=always -fPIC -MD -MT generic/gui/CMakeFiles/nextpnr-generic-gui.dir/__/3rdparty/python-console/modified/pyinterpreter.cc.o -MF CMakeFiles/nextpnr-generic-gui.dir/__/3rdparty/python-console/modified/pyinterpreter.cc.o.d -o CMakeFiles/nextpnr-generic-gui.dir/__/3rdparty/python-console/modified/pyinterpreter.cc.o -c /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/python-console/modified/pyinterpreter.cc AutoMoc: Generating MOC compilation "BIN:/himbaechel/uarch/gatemate/gui/nextpnr-himbaechel-gatemate-gui_autogen/mocs_compilation.cpp" AutoMoc: Merging MOC dependencies into "BIN:/himbaechel/uarch/gatemate/gui/nextpnr-himbaechel-gatemate-gui_autogen/deps" AutoGen: Writing the parse cache file "BIN:/himbaechel/uarch/gowin/gui/CMakeFiles/nextpnr-himbaechel-gowin-gui_autogen.dir/ParseCache.txt" AutoGen: Writing the settings file "BIN:/himbaechel/uarch/gowin/gui/CMakeFiles/nextpnr-himbaechel-gowin-gui_autogen.dir/AutogenUsed.txt" [ 13%] Building CXX object ecp5/gui/CMakeFiles/nextpnr-ecp5-gui.dir/basewindow.cc.o [ 13%] Building CXX object ecp5/gui/CMakeFiles/nextpnr-ecp5-gui.dir/application.cc.o [ 13%] Building CXX object ecp5/gui/CMakeFiles/nextpnr-ecp5-gui.dir/designwidget.cc.o [ 13%] Building CXX object ecp5/gui/CMakeFiles/nextpnr-ecp5-gui.dir/nextpnr-ecp5-gui_autogen/mocs_compilation.cpp.o [ 13%] Building CXX object ecp5/gui/CMakeFiles/nextpnr-ecp5-gui.dir/treemodel.cc.o [ 14%] Building CXX object ecp5/gui/CMakeFiles/nextpnr-ecp5-gui.dir/pyconsole.cc.o [ 14%] Building CXX object ecp5/gui/CMakeFiles/nextpnr-ecp5-gui.dir/ecp5/mainwindow.cc.o [ 14%] Building CXX object ecp5/gui/CMakeFiles/nextpnr-ecp5-gui.dir/lineshader.cc.o AutoGen: Writing the parse cache file "BIN:/himbaechel/uarch/gatemate/gui/CMakeFiles/nextpnr-himbaechel-gatemate-gui_autogen.dir/ParseCache.txt" [ 14%] Building CXX object ecp5/gui/CMakeFiles/nextpnr-ecp5-gui.dir/fpgaviewwidget.cc.o [ 14%] Building CXX object ecp5/gui/CMakeFiles/nextpnr-ecp5-gui.dir/line_editor.cc.o cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/ecp5/gui && /gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/bin/c++ -DARCHNAME=ecp5 -DARCH_ECP5 -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DNO_RUST -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/ecp5/gui -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/ecp5/gui/nextpnr-ecp5-gui_autogen/include -I/gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/include/python3.11 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/ecp5 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/frontend -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/json -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/QtPropertyBrowser/src -I/gnu/store/lkr00hf38xnc265pziwm68bpii3xdg8q-imgui-1.86/include/imgui -I/gnu/store/ny8visn9x0y1r3bfylrirfbjprg3nh15-qtimgui-0.0-0.48d64a7/include/qtimgui -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/../3rdparty/python-console -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/../3rdparty/python-console/modified -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/ecp5 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/common -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtWidgets -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtGui -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtCore -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/lib/qt5/mkspecs/linux-g++ -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtOpenGL -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -fdiagnostics-color=always -fPIC -MD -MT ecp5/gui/CMakeFiles/nextpnr-ecp5-gui.dir/basewindow.cc.o -MF CMakeFiles/nextpnr-ecp5-gui.dir/basewindow.cc.o.d -o CMakeFiles/nextpnr-ecp5-gui.dir/basewindow.cc.o -c /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/basewindow.cc [ 14%] Building CXX object ecp5/gui/CMakeFiles/nextpnr-ecp5-gui.dir/pythontab.cc.o cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/ecp5/gui && /gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/bin/c++ -DARCHNAME=ecp5 -DARCH_ECP5 -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DNO_RUST -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/ecp5/gui -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/ecp5/gui/nextpnr-ecp5-gui_autogen/include -I/gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/include/python3.11 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/ecp5 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/frontend -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/json -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/QtPropertyBrowser/src -I/gnu/store/lkr00hf38xnc265pziwm68bpii3xdg8q-imgui-1.86/include/imgui -I/gnu/store/ny8visn9x0y1r3bfylrirfbjprg3nh15-qtimgui-0.0-0.48d64a7/include/qtimgui -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/../3rdparty/python-console -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/../3rdparty/python-console/modified -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/ecp5 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/common -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtWidgets -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtGui -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtCore -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/lib/qt5/mkspecs/linux-g++ -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtOpenGL -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -fdiagnostics-color=always -fPIC -MD -MT ecp5/gui/CMakeFiles/nextpnr-ecp5-gui.dir/application.cc.o -MF CMakeFiles/nextpnr-ecp5-gui.dir/application.cc.o.d -o CMakeFiles/nextpnr-ecp5-gui.dir/application.cc.o -c /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/application.cc [ 14%] Building CXX object ecp5/gui/CMakeFiles/nextpnr-ecp5-gui.dir/worker.cc.o cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/ecp5/gui && /gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/bin/c++ -DARCHNAME=ecp5 -DARCH_ECP5 -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DNO_RUST -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/ecp5/gui -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/ecp5/gui/nextpnr-ecp5-gui_autogen/include -I/gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/include/python3.11 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/ecp5 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/frontend -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/json -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/QtPropertyBrowser/src -I/gnu/store/lkr00hf38xnc265pziwm68bpii3xdg8q-imgui-1.86/include/imgui -I/gnu/store/ny8visn9x0y1r3bfylrirfbjprg3nh15-qtimgui-0.0-0.48d64a7/include/qtimgui -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/../3rdparty/python-console -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/../3rdparty/python-console/modified -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/ecp5 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/common -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtWidgets -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtGui -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtCore -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/lib/qt5/mkspecs/linux-g++ -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtOpenGL -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -fdiagnostics-color=always -fPIC -MD -MT ecp5/gui/CMakeFiles/nextpnr-ecp5-gui.dir/nextpnr-ecp5-gui_autogen/mocs_compilation.cpp.o -MF CMakeFiles/nextpnr-ecp5-gui.dir/nextpnr-ecp5-gui_autogen/mocs_compilation.cpp.o.d -o CMakeFiles/nextpnr-ecp5-gui.dir/nextpnr-ecp5-gui_autogen/mocs_compilation.cpp.o -c /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/ecp5/gui/nextpnr-ecp5-gui_autogen/mocs_compilation.cpp cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/ecp5/gui && /gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/bin/c++ -DARCHNAME=ecp5 -DARCH_ECP5 -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DNO_RUST -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/ecp5/gui -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/ecp5/gui/nextpnr-ecp5-gui_autogen/include -I/gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/include/python3.11 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/ecp5 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/frontend -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/json -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/QtPropertyBrowser/src -I/gnu/store/lkr00hf38xnc265pziwm68bpii3xdg8q-imgui-1.86/include/imgui -I/gnu/store/ny8visn9x0y1r3bfylrirfbjprg3nh15-qtimgui-0.0-0.48d64a7/include/qtimgui -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/../3rdparty/python-console -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/../3rdparty/python-console/modified -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/ecp5 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/common -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtWidgets -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtGui -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtCore -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/lib/qt5/mkspecs/linux-g++ -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtOpenGL -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -fdiagnostics-color=always -fPIC -MD -MT ecp5/gui/CMakeFiles/nextpnr-ecp5-gui.dir/designwidget.cc.o -MF CMakeFiles/nextpnr-ecp5-gui.dir/designwidget.cc.o.d -o CMakeFiles/nextpnr-ecp5-gui.dir/designwidget.cc.o -c /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/designwidget.cc cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/himbaechel/uarch/gowin/gui && /gnu/store/d5c8i3b549r412lkhddggyfx9mqdphs7-cmake-minimal-3.31.10/bin/cmake -E touch /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/himbaechel/uarch/gowin/gui/nextpnr-himbaechel-gowin-gui_autogen/timestamp cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/ecp5/gui && /gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/bin/c++ -DARCHNAME=ecp5 -DARCH_ECP5 -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DNO_RUST -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/ecp5/gui -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/ecp5/gui/nextpnr-ecp5-gui_autogen/include -I/gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/include/python3.11 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/ecp5 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/frontend -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/json -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/QtPropertyBrowser/src -I/gnu/store/lkr00hf38xnc265pziwm68bpii3xdg8q-imgui-1.86/include/imgui -I/gnu/store/ny8visn9x0y1r3bfylrirfbjprg3nh15-qtimgui-0.0-0.48d64a7/include/qtimgui -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/../3rdparty/python-console -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/../3rdparty/python-console/modified -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/ecp5 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/common -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtWidgets -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtGui -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtCore -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/lib/qt5/mkspecs/linux-g++ -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtOpenGL -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -fdiagnostics-color=always -fPIC -MD -MT ecp5/gui/CMakeFiles/nextpnr-ecp5-gui.dir/lineshader.cc.o -MF CMakeFiles/nextpnr-ecp5-gui.dir/lineshader.cc.o.d -o CMakeFiles/nextpnr-ecp5-gui.dir/lineshader.cc.o -c /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/lineshader.cc AutoGen: Writing the parse cache file "BIN:/himbaechel/uarch/ng-ultra/gui/CMakeFiles/nextpnr-himbaechel-ng-ultra-gui_autogen.dir/ParseCache.txt" AutoGen: Writing the settings file "BIN:/himbaechel/uarch/gatemate/gui/CMakeFiles/nextpnr-himbaechel-gatemate-gui_autogen.dir/AutogenUsed.txt" cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/ecp5/gui && /gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/bin/c++ -DARCHNAME=ecp5 -DARCH_ECP5 -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DNO_RUST -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/ecp5/gui -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/ecp5/gui/nextpnr-ecp5-gui_autogen/include -I/gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/include/python3.11 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/ecp5 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/frontend -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/json -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/QtPropertyBrowser/src -I/gnu/store/lkr00hf38xnc265pziwm68bpii3xdg8q-imgui-1.86/include/imgui -I/gnu/store/ny8visn9x0y1r3bfylrirfbjprg3nh15-qtimgui-0.0-0.48d64a7/include/qtimgui -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/../3rdparty/python-console -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/../3rdparty/python-console/modified -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/ecp5 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/common -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtWidgets -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtGui -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtCore -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/lib/qt5/mkspecs/linux-g++ -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtOpenGL -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -fdiagnostics-color=always -fPIC -MD -MT ecp5/gui/CMakeFiles/nextpnr-ecp5-gui.dir/fpgaviewwidget.cc.o -MF CMakeFiles/nextpnr-ecp5-gui.dir/fpgaviewwidget.cc.o.d -o CMakeFiles/nextpnr-ecp5-gui.dir/fpgaviewwidget.cc.o -c /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/fpgaviewwidget.cc cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/ecp5/gui && /gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/bin/c++ -DARCHNAME=ecp5 -DARCH_ECP5 -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DNO_RUST -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/ecp5/gui -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/ecp5/gui/nextpnr-ecp5-gui_autogen/include -I/gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/include/python3.11 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/ecp5 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/frontend -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/json -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/QtPropertyBrowser/src -I/gnu/store/lkr00hf38xnc265pziwm68bpii3xdg8q-imgui-1.86/include/imgui -I/gnu/store/ny8visn9x0y1r3bfylrirfbjprg3nh15-qtimgui-0.0-0.48d64a7/include/qtimgui -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/../3rdparty/python-console -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/../3rdparty/python-console/modified -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/ecp5 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/common -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtWidgets -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtGui -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtCore -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/lib/qt5/mkspecs/linux-g++ -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtOpenGL -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -fdiagnostics-color=always -fPIC -MD -MT ecp5/gui/CMakeFiles/nextpnr-ecp5-gui.dir/line_editor.cc.o -MF CMakeFiles/nextpnr-ecp5-gui.dir/line_editor.cc.o.d -o CMakeFiles/nextpnr-ecp5-gui.dir/line_editor.cc.o -c /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/line_editor.cc cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/ecp5/gui && /gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/bin/c++ -DARCHNAME=ecp5 -DARCH_ECP5 -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DNO_RUST -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/ecp5/gui -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/ecp5/gui/nextpnr-ecp5-gui_autogen/include -I/gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/include/python3.11 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/ecp5 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/frontend -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/json -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/QtPropertyBrowser/src -I/gnu/store/lkr00hf38xnc265pziwm68bpii3xdg8q-imgui-1.86/include/imgui -I/gnu/store/ny8visn9x0y1r3bfylrirfbjprg3nh15-qtimgui-0.0-0.48d64a7/include/qtimgui -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/../3rdparty/python-console -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/../3rdparty/python-console/modified -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/ecp5 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/common -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtWidgets -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtGui -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtCore -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/lib/qt5/mkspecs/linux-g++ -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtOpenGL -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -fdiagnostics-color=always -fPIC -MD -MT ecp5/gui/CMakeFiles/nextpnr-ecp5-gui.dir/pyconsole.cc.o -MF CMakeFiles/nextpnr-ecp5-gui.dir/pyconsole.cc.o.d -o CMakeFiles/nextpnr-ecp5-gui.dir/pyconsole.cc.o -c /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/pyconsole.cc [ 14%] Building CXX object ecp5/gui/CMakeFiles/nextpnr-ecp5-gui.dir/__/3rdparty/python-console/ColumnFormatter.cpp.o [ 14%] Building CXX object ecp5/gui/CMakeFiles/nextpnr-ecp5-gui.dir/__/3rdparty/python-console/ParseHelper.cpp.o cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/ecp5/gui && /gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/bin/c++ -DARCHNAME=ecp5 -DARCH_ECP5 -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DNO_RUST -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/ecp5/gui -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/ecp5/gui/nextpnr-ecp5-gui_autogen/include -I/gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/include/python3.11 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/ecp5 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/frontend -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/json -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/QtPropertyBrowser/src -I/gnu/store/lkr00hf38xnc265pziwm68bpii3xdg8q-imgui-1.86/include/imgui -I/gnu/store/ny8visn9x0y1r3bfylrirfbjprg3nh15-qtimgui-0.0-0.48d64a7/include/qtimgui -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/../3rdparty/python-console -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/../3rdparty/python-console/modified -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/ecp5 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/common -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtWidgets -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtGui -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtCore -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/lib/qt5/mkspecs/linux-g++ -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtOpenGL -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -fdiagnostics-color=always -fPIC -MD -MT ecp5/gui/CMakeFiles/nextpnr-ecp5-gui.dir/pythontab.cc.o -MF CMakeFiles/nextpnr-ecp5-gui.dir/pythontab.cc.o.d -o CMakeFiles/nextpnr-ecp5-gui.dir/pythontab.cc.o -c /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/pythontab.cc [ 15%] Building CXX object ecp5/gui/CMakeFiles/nextpnr-ecp5-gui.dir/qrc_base.cpp.o [ 15%] Building CXX object ecp5/gui/CMakeFiles/nextpnr-ecp5-gui.dir/__/3rdparty/python-console/ParseHelper.BlockParseState.cpp.o cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/ecp5/gui && /gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/bin/c++ -DARCHNAME=ecp5 -DARCH_ECP5 -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DNO_RUST -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/ecp5/gui -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/ecp5/gui/nextpnr-ecp5-gui_autogen/include -I/gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/include/python3.11 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/ecp5 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/frontend -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/json -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/QtPropertyBrowser/src -I/gnu/store/lkr00hf38xnc265pziwm68bpii3xdg8q-imgui-1.86/include/imgui -I/gnu/store/ny8visn9x0y1r3bfylrirfbjprg3nh15-qtimgui-0.0-0.48d64a7/include/qtimgui -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/../3rdparty/python-console -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/../3rdparty/python-console/modified -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/ecp5 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/common -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtWidgets -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtGui -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtCore -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/lib/qt5/mkspecs/linux-g++ -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtOpenGL -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -fdiagnostics-color=always -fPIC -MD -MT ecp5/gui/CMakeFiles/nextpnr-ecp5-gui.dir/treemodel.cc.o -MF CMakeFiles/nextpnr-ecp5-gui.dir/treemodel.cc.o.d -o CMakeFiles/nextpnr-ecp5-gui.dir/treemodel.cc.o -c /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/treemodel.cc [ 15%] Building CXX object ecp5/gui/CMakeFiles/nextpnr-ecp5-gui.dir/qrc_nextpnr.cpp.o cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/ecp5/gui && /gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/bin/c++ -DARCHNAME=ecp5 -DARCH_ECP5 -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DNO_RUST -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/ecp5/gui -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/ecp5/gui/nextpnr-ecp5-gui_autogen/include -I/gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/include/python3.11 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/ecp5 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/frontend -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/json -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/QtPropertyBrowser/src -I/gnu/store/lkr00hf38xnc265pziwm68bpii3xdg8q-imgui-1.86/include/imgui -I/gnu/store/ny8visn9x0y1r3bfylrirfbjprg3nh15-qtimgui-0.0-0.48d64a7/include/qtimgui -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/../3rdparty/python-console -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/../3rdparty/python-console/modified -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/ecp5 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/common -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtWidgets -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtGui -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtCore -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/lib/qt5/mkspecs/linux-g++ -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtOpenGL -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -fdiagnostics-color=always -fPIC -MD -MT ecp5/gui/CMakeFiles/nextpnr-ecp5-gui.dir/worker.cc.o -MF CMakeFiles/nextpnr-ecp5-gui.dir/worker.cc.o.d -o CMakeFiles/nextpnr-ecp5-gui.dir/worker.cc.o -c /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/worker.cc [ 15%] Building CXX object ecp5/gui/CMakeFiles/nextpnr-ecp5-gui.dir/__/3rdparty/python-console/ParseHelper.BracketParseState.cpp.o [ 15%] Building CXX object ecp5/gui/CMakeFiles/nextpnr-ecp5-gui.dir/__/3rdparty/python-console/ParseMessage.cpp.o AutoGen: Writing the settings file "BIN:/himbaechel/uarch/ng-ultra/gui/CMakeFiles/nextpnr-himbaechel-ng-ultra-gui_autogen.dir/AutogenUsed.txt" cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/ecp5/gui && /gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/bin/c++ -DARCHNAME=ecp5 -DARCH_ECP5 -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DNO_RUST -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/ecp5/gui -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/ecp5/gui/nextpnr-ecp5-gui_autogen/include -I/gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/include/python3.11 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/ecp5 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/frontend -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/json -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/QtPropertyBrowser/src -I/gnu/store/lkr00hf38xnc265pziwm68bpii3xdg8q-imgui-1.86/include/imgui -I/gnu/store/ny8visn9x0y1r3bfylrirfbjprg3nh15-qtimgui-0.0-0.48d64a7/include/qtimgui -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/../3rdparty/python-console -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/../3rdparty/python-console/modified -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/ecp5 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/common -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtWidgets -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtGui -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtCore -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/lib/qt5/mkspecs/linux-g++ -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtOpenGL -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -fdiagnostics-color=always -fPIC -MD -MT ecp5/gui/CMakeFiles/nextpnr-ecp5-gui.dir/ecp5/mainwindow.cc.o -MF CMakeFiles/nextpnr-ecp5-gui.dir/ecp5/mainwindow.cc.o.d -o CMakeFiles/nextpnr-ecp5-gui.dir/ecp5/mainwindow.cc.o -c /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/ecp5/mainwindow.cc cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/ecp5/gui && /gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/bin/c++ -DARCHNAME=ecp5 -DARCH_ECP5 -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DNO_RUST -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/ecp5/gui -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/ecp5/gui/nextpnr-ecp5-gui_autogen/include -I/gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/include/python3.11 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/ecp5 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/frontend -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/json -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/QtPropertyBrowser/src -I/gnu/store/lkr00hf38xnc265pziwm68bpii3xdg8q-imgui-1.86/include/imgui -I/gnu/store/ny8visn9x0y1r3bfylrirfbjprg3nh15-qtimgui-0.0-0.48d64a7/include/qtimgui -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/../3rdparty/python-console -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/../3rdparty/python-console/modified -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/ecp5 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/common -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtWidgets -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtGui -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtCore -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/lib/qt5/mkspecs/linux-g++ -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtOpenGL -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -fdiagnostics-color=always -fPIC -MD -MT ecp5/gui/CMakeFiles/nextpnr-ecp5-gui.dir/__/3rdparty/python-console/ColumnFormatter.cpp.o -MF CMakeFiles/nextpnr-ecp5-gui.dir/__/3rdparty/python-console/ColumnFormatter.cpp.o.d -o CMakeFiles/nextpnr-ecp5-gui.dir/__/3rdparty/python-console/ColumnFormatter.cpp.o -c /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/python-console/ColumnFormatter.cpp cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/ecp5/gui && /gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/bin/c++ -DARCHNAME=ecp5 -DARCH_ECP5 -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DNO_RUST -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/ecp5/gui -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/ecp5/gui/nextpnr-ecp5-gui_autogen/include -I/gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/include/python3.11 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/ecp5 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/frontend -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/json -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/QtPropertyBrowser/src -I/gnu/store/lkr00hf38xnc265pziwm68bpii3xdg8q-imgui-1.86/include/imgui -I/gnu/store/ny8visn9x0y1r3bfylrirfbjprg3nh15-qtimgui-0.0-0.48d64a7/include/qtimgui -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/../3rdparty/python-console -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/../3rdparty/python-console/modified -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/ecp5 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/common -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtWidgets -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtGui -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtCore -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/lib/qt5/mkspecs/linux-g++ -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtOpenGL -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -fdiagnostics-color=always -fPIC -MD -MT ecp5/gui/CMakeFiles/nextpnr-ecp5-gui.dir/qrc_base.cpp.o -MF CMakeFiles/nextpnr-ecp5-gui.dir/qrc_base.cpp.o.d -o CMakeFiles/nextpnr-ecp5-gui.dir/qrc_base.cpp.o -c /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/ecp5/gui/qrc_base.cpp cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/ecp5/gui && /gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/bin/c++ -DARCHNAME=ecp5 -DARCH_ECP5 -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DNO_RUST -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/ecp5/gui -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/ecp5/gui/nextpnr-ecp5-gui_autogen/include -I/gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/include/python3.11 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/ecp5 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/frontend -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/json -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/QtPropertyBrowser/src -I/gnu/store/lkr00hf38xnc265pziwm68bpii3xdg8q-imgui-1.86/include/imgui -I/gnu/store/ny8visn9x0y1r3bfylrirfbjprg3nh15-qtimgui-0.0-0.48d64a7/include/qtimgui -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/../3rdparty/python-console -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/../3rdparty/python-console/modified -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/ecp5 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/common -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtWidgets -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtGui -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtCore -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/lib/qt5/mkspecs/linux-g++ -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtOpenGL -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -fdiagnostics-color=always -fPIC -MD -MT ecp5/gui/CMakeFiles/nextpnr-ecp5-gui.dir/qrc_nextpnr.cpp.o -MF CMakeFiles/nextpnr-ecp5-gui.dir/qrc_nextpnr.cpp.o.d -o CMakeFiles/nextpnr-ecp5-gui.dir/qrc_nextpnr.cpp.o -c /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/ecp5/gui/qrc_nextpnr.cpp cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/ecp5/gui && /gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/bin/c++ -DARCHNAME=ecp5 -DARCH_ECP5 -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DNO_RUST -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/ecp5/gui -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/ecp5/gui/nextpnr-ecp5-gui_autogen/include -I/gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/include/python3.11 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/ecp5 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/frontend -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/json -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/QtPropertyBrowser/src -I/gnu/store/lkr00hf38xnc265pziwm68bpii3xdg8q-imgui-1.86/include/imgui -I/gnu/store/ny8visn9x0y1r3bfylrirfbjprg3nh15-qtimgui-0.0-0.48d64a7/include/qtimgui -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/../3rdparty/python-console -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/../3rdparty/python-console/modified -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/ecp5 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/common -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtWidgets -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtGui -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtCore -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/lib/qt5/mkspecs/linux-g++ -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtOpenGL -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -fdiagnostics-color=always -fPIC -MD -MT ecp5/gui/CMakeFiles/nextpnr-ecp5-gui.dir/__/3rdparty/python-console/ParseHelper.cpp.o -MF CMakeFiles/nextpnr-ecp5-gui.dir/__/3rdparty/python-console/ParseHelper.cpp.o.d -o CMakeFiles/nextpnr-ecp5-gui.dir/__/3rdparty/python-console/ParseHelper.cpp.o -c /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/python-console/ParseHelper.cpp [ 15%] Building CXX object ecp5/gui/CMakeFiles/nextpnr-ecp5-gui.dir/__/3rdparty/python-console/ParseHelper.ContinuationParseState.cpp.o [ 15%] Building CXX object ecp5/gui/CMakeFiles/nextpnr-ecp5-gui.dir/__/3rdparty/python-console/modified/pyredirector.cc.o cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/ecp5/gui && /gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/bin/c++ -DARCHNAME=ecp5 -DARCH_ECP5 -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DNO_RUST -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/ecp5/gui -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/ecp5/gui/nextpnr-ecp5-gui_autogen/include -I/gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/include/python3.11 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/ecp5 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/frontend -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/json -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/QtPropertyBrowser/src -I/gnu/store/lkr00hf38xnc265pziwm68bpii3xdg8q-imgui-1.86/include/imgui -I/gnu/store/ny8visn9x0y1r3bfylrirfbjprg3nh15-qtimgui-0.0-0.48d64a7/include/qtimgui -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/../3rdparty/python-console -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/../3rdparty/python-console/modified -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/ecp5 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/common -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtWidgets -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtGui -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtCore -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/lib/qt5/mkspecs/linux-g++ -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtOpenGL -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -fdiagnostics-color=always -fPIC -MD -MT ecp5/gui/CMakeFiles/nextpnr-ecp5-gui.dir/__/3rdparty/python-console/ParseHelper.BlockParseState.cpp.o -MF CMakeFiles/nextpnr-ecp5-gui.dir/__/3rdparty/python-console/ParseHelper.BlockParseState.cpp.o.d -o CMakeFiles/nextpnr-ecp5-gui.dir/__/3rdparty/python-console/ParseHelper.BlockParseState.cpp.o -c /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/python-console/ParseHelper.BlockParseState.cpp cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/himbaechel/uarch/gatemate/gui && /gnu/store/d5c8i3b549r412lkhddggyfx9mqdphs7-cmake-minimal-3.31.10/bin/cmake -E touch /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/himbaechel/uarch/gatemate/gui/nextpnr-himbaechel-gatemate-gui_autogen/timestamp [ 16%] Building CXX object ecp5/gui/CMakeFiles/nextpnr-ecp5-gui.dir/__/3rdparty/python-console/modified/pyinterpreter.cc.o cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/ecp5/gui && /gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/bin/c++ -DARCHNAME=ecp5 -DARCH_ECP5 -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DNO_RUST -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/ecp5/gui -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/ecp5/gui/nextpnr-ecp5-gui_autogen/include -I/gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/include/python3.11 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/ecp5 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/frontend -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/json -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/QtPropertyBrowser/src -I/gnu/store/lkr00hf38xnc265pziwm68bpii3xdg8q-imgui-1.86/include/imgui -I/gnu/store/ny8visn9x0y1r3bfylrirfbjprg3nh15-qtimgui-0.0-0.48d64a7/include/qtimgui -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/../3rdparty/python-console -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/../3rdparty/python-console/modified -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/ecp5 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/common -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtWidgets -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtGui -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtCore -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/lib/qt5/mkspecs/linux-g++ -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtOpenGL -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -fdiagnostics-color=always -fPIC -MD -MT ecp5/gui/CMakeFiles/nextpnr-ecp5-gui.dir/__/3rdparty/python-console/ParseHelper.BracketParseState.cpp.o -MF CMakeFiles/nextpnr-ecp5-gui.dir/__/3rdparty/python-console/ParseHelper.BracketParseState.cpp.o.d -o CMakeFiles/nextpnr-ecp5-gui.dir/__/3rdparty/python-console/ParseHelper.BracketParseState.cpp.o -c /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/python-console/ParseHelper.BracketParseState.cpp cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/ecp5/gui && /gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/bin/c++ -DARCHNAME=ecp5 -DARCH_ECP5 -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DNO_RUST -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/ecp5/gui -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/ecp5/gui/nextpnr-ecp5-gui_autogen/include -I/gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/include/python3.11 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/ecp5 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/frontend -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/json -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/QtPropertyBrowser/src -I/gnu/store/lkr00hf38xnc265pziwm68bpii3xdg8q-imgui-1.86/include/imgui -I/gnu/store/ny8visn9x0y1r3bfylrirfbjprg3nh15-qtimgui-0.0-0.48d64a7/include/qtimgui -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/../3rdparty/python-console -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/../3rdparty/python-console/modified -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/ecp5 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/common -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtWidgets -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtGui -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtCore -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/lib/qt5/mkspecs/linux-g++ -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtOpenGL -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -fdiagnostics-color=always -fPIC -MD -MT ecp5/gui/CMakeFiles/nextpnr-ecp5-gui.dir/__/3rdparty/python-console/ParseMessage.cpp.o -MF CMakeFiles/nextpnr-ecp5-gui.dir/__/3rdparty/python-console/ParseMessage.cpp.o.d -o CMakeFiles/nextpnr-ecp5-gui.dir/__/3rdparty/python-console/ParseMessage.cpp.o -c /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/python-console/ParseMessage.cpp cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/ecp5/gui && /gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/bin/c++ -DARCHNAME=ecp5 -DARCH_ECP5 -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DNO_RUST -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/ecp5/gui -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/ecp5/gui/nextpnr-ecp5-gui_autogen/include -I/gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/include/python3.11 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/ecp5 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/frontend -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/json -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/QtPropertyBrowser/src -I/gnu/store/lkr00hf38xnc265pziwm68bpii3xdg8q-imgui-1.86/include/imgui -I/gnu/store/ny8visn9x0y1r3bfylrirfbjprg3nh15-qtimgui-0.0-0.48d64a7/include/qtimgui -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/../3rdparty/python-console -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/../3rdparty/python-console/modified -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/ecp5 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/common -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtWidgets -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtGui -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtCore -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/lib/qt5/mkspecs/linux-g++ -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtOpenGL -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -fdiagnostics-color=always -fPIC -MD -MT ecp5/gui/CMakeFiles/nextpnr-ecp5-gui.dir/__/3rdparty/python-console/ParseHelper.ContinuationParseState.cpp.o -MF CMakeFiles/nextpnr-ecp5-gui.dir/__/3rdparty/python-console/ParseHelper.ContinuationParseState.cpp.o.d -o CMakeFiles/nextpnr-ecp5-gui.dir/__/3rdparty/python-console/ParseHelper.ContinuationParseState.cpp.o -c /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/python-console/ParseHelper.ContinuationParseState.cpp cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/ecp5/gui && /gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/bin/c++ -DARCHNAME=ecp5 -DARCH_ECP5 -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DNO_RUST -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/ecp5/gui -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/ecp5/gui/nextpnr-ecp5-gui_autogen/include -I/gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/include/python3.11 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/ecp5 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/frontend -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/json -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/QtPropertyBrowser/src -I/gnu/store/lkr00hf38xnc265pziwm68bpii3xdg8q-imgui-1.86/include/imgui -I/gnu/store/ny8visn9x0y1r3bfylrirfbjprg3nh15-qtimgui-0.0-0.48d64a7/include/qtimgui -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/../3rdparty/python-console -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/../3rdparty/python-console/modified -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/ecp5 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/common -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtWidgets -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtGui -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtCore -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/lib/qt5/mkspecs/linux-g++ -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtOpenGL -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -fdiagnostics-color=always -fPIC -MD -MT ecp5/gui/CMakeFiles/nextpnr-ecp5-gui.dir/__/3rdparty/python-console/modified/pyredirector.cc.o -MF CMakeFiles/nextpnr-ecp5-gui.dir/__/3rdparty/python-console/modified/pyredirector.cc.o.d -o CMakeFiles/nextpnr-ecp5-gui.dir/__/3rdparty/python-console/modified/pyredirector.cc.o -c /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/python-console/modified/pyredirector.cc cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/ecp5/gui && /gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/bin/c++ -DARCHNAME=ecp5 -DARCH_ECP5 -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DNO_RUST -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/ecp5/gui -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/ecp5/gui/nextpnr-ecp5-gui_autogen/include -I/gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/include/python3.11 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/ecp5 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/frontend -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/json -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/QtPropertyBrowser/src -I/gnu/store/lkr00hf38xnc265pziwm68bpii3xdg8q-imgui-1.86/include/imgui -I/gnu/store/ny8visn9x0y1r3bfylrirfbjprg3nh15-qtimgui-0.0-0.48d64a7/include/qtimgui -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/../3rdparty/python-console -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/../3rdparty/python-console/modified -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/ecp5 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/common -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtWidgets -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtGui -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtCore -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/lib/qt5/mkspecs/linux-g++ -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtOpenGL -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -fdiagnostics-color=always -fPIC -MD -MT ecp5/gui/CMakeFiles/nextpnr-ecp5-gui.dir/__/3rdparty/python-console/modified/pyinterpreter.cc.o -MF CMakeFiles/nextpnr-ecp5-gui.dir/__/3rdparty/python-console/modified/pyinterpreter.cc.o.d -o CMakeFiles/nextpnr-ecp5-gui.dir/__/3rdparty/python-console/modified/pyinterpreter.cc.o -c /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/python-console/modified/pyinterpreter.cc cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/himbaechel/uarch/ng-ultra/gui && /gnu/store/d5c8i3b549r412lkhddggyfx9mqdphs7-cmake-minimal-3.31.10/bin/cmake -E touch /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/himbaechel/uarch/ng-ultra/gui/nextpnr-himbaechel-ng-ultra-gui_autogen/timestamp make[2]: Leaving directory '/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build' make[2]: Leaving directory '/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build' make[2]: Leaving directory '/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build' [ 16%] Built target nextpnr-himbaechel-gowin-gui_autogen /gnu/store/mx9i9dnjx34lkx8k8r79876q2f5dclvr-make-4.4.1/bin/make -f himbaechel/uarch/gowin/gui/CMakeFiles/nextpnr-himbaechel-gowin-gui.dir/build.make himbaechel/uarch/gowin/gui/CMakeFiles/nextpnr-himbaechel-gowin-gui.dir/depend [ 16%] Built target nextpnr-himbaechel-gatemate-gui_autogen /gnu/store/mx9i9dnjx34lkx8k8r79876q2f5dclvr-make-4.4.1/bin/make -f himbaechel/uarch/gatemate/gui/CMakeFiles/nextpnr-himbaechel-gatemate-gui.dir/build.make himbaechel/uarch/gatemate/gui/CMakeFiles/nextpnr-himbaechel-gatemate-gui.dir/depend make[2]: Entering directory '/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build' [ 16%] Built target nextpnr-himbaechel-ng-ultra-gui_autogen make[2]: Entering directory '/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build' /gnu/store/mx9i9dnjx34lkx8k8r79876q2f5dclvr-make-4.4.1/bin/make -f himbaechel/uarch/ng-ultra/gui/CMakeFiles/nextpnr-himbaechel-ng-ultra-gui.dir/build.make himbaechel/uarch/ng-ultra/gui/CMakeFiles/nextpnr-himbaechel-ng-ultra-gui.dir/depend make[2]: Entering directory '/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build' [ 16%] Generating qrc_nextpnr.cpp [ 16%] Generating qrc_base.cpp [ 16%] Generating qrc_nextpnr.cpp [ 16%] Generating qrc_base.cpp cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/himbaechel/uarch/gatemate/gui && /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/bin/rcc --name nextpnr --output /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/himbaechel/uarch/gatemate/gui/qrc_nextpnr.cpp /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/himbaechel/nextpnr.qrc cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/himbaechel/uarch/gowin/gui && /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/bin/rcc --name base --output /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/himbaechel/uarch/gowin/gui/qrc_base.cpp /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/base.qrc cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/himbaechel/uarch/gowin/gui && /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/bin/rcc --name nextpnr --output /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/himbaechel/uarch/gowin/gui/qrc_nextpnr.cpp /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/himbaechel/nextpnr.qrc cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/himbaechel/uarch/gatemate/gui && /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/bin/rcc --name base --output /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/himbaechel/uarch/gatemate/gui/qrc_base.cpp /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/base.qrc RCC: Warning: No resources in '/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/himbaechel/nextpnr.qrc'. RCC: Warning: No resources in '/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/himbaechel/nextpnr.qrc'. [ 16%] Generating qrc_nextpnr.cpp [ 16%] Generating qrc_base.cpp cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/himbaechel/uarch/ng-ultra/gui && /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/bin/rcc --name nextpnr --output /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/himbaechel/uarch/ng-ultra/gui/qrc_nextpnr.cpp /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/himbaechel/nextpnr.qrc cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/himbaechel/uarch/ng-ultra/gui && /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/bin/rcc --name base --output /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/himbaechel/uarch/ng-ultra/gui/qrc_base.cpp /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/base.qrc cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build && /gnu/store/d5c8i3b549r412lkhddggyfx9mqdphs7-cmake-minimal-3.31.10/bin/cmake -E cmake_depends "Unix Makefiles" /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/himbaechel/uarch/gatemate/gui /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/himbaechel/uarch/gatemate/gui/CMakeFiles/nextpnr-himbaechel-gatemate-gui.dir/DependInfo.cmake "--color=" cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build && /gnu/store/d5c8i3b549r412lkhddggyfx9mqdphs7-cmake-minimal-3.31.10/bin/cmake -E cmake_depends "Unix Makefiles" /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/himbaechel/uarch/gowin/gui /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/himbaechel/uarch/gowin/gui/CMakeFiles/nextpnr-himbaechel-gowin-gui.dir/DependInfo.cmake "--color=" RCC: Warning: No resources in '/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/himbaechel/nextpnr.qrc'. cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build && /gnu/store/d5c8i3b549r412lkhddggyfx9mqdphs7-cmake-minimal-3.31.10/bin/cmake -E cmake_depends "Unix Makefiles" /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/himbaechel/uarch/ng-ultra/gui /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/himbaechel/uarch/ng-ultra/gui/CMakeFiles/nextpnr-himbaechel-ng-ultra-gui.dir/DependInfo.cmake "--color=" make[2]: Leaving directory '/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build' make[2]: Leaving directory '/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build' /gnu/store/mx9i9dnjx34lkx8k8r79876q2f5dclvr-make-4.4.1/bin/make -f himbaechel/uarch/gatemate/gui/CMakeFiles/nextpnr-himbaechel-gatemate-gui.dir/build.make himbaechel/uarch/gatemate/gui/CMakeFiles/nextpnr-himbaechel-gatemate-gui.dir/build /gnu/store/mx9i9dnjx34lkx8k8r79876q2f5dclvr-make-4.4.1/bin/make -f himbaechel/uarch/gowin/gui/CMakeFiles/nextpnr-himbaechel-gowin-gui.dir/build.make himbaechel/uarch/gowin/gui/CMakeFiles/nextpnr-himbaechel-gowin-gui.dir/build make[2]: Entering directory '/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build' make[2]: Entering directory '/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build' make[2]: Leaving directory '/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build' /gnu/store/mx9i9dnjx34lkx8k8r79876q2f5dclvr-make-4.4.1/bin/make -f himbaechel/uarch/ng-ultra/gui/CMakeFiles/nextpnr-himbaechel-ng-ultra-gui.dir/build.make himbaechel/uarch/ng-ultra/gui/CMakeFiles/nextpnr-himbaechel-ng-ultra-gui.dir/build make[2]: Entering directory '/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build' [ 17%] Building CXX object himbaechel/uarch/gatemate/gui/CMakeFiles/nextpnr-himbaechel-gatemate-gui.dir/application.cc.o [ 17%] Building CXX object himbaechel/uarch/gatemate/gui/CMakeFiles/nextpnr-himbaechel-gatemate-gui.dir/pyconsole.cc.o [ 17%] Building CXX object himbaechel/uarch/gowin/gui/CMakeFiles/nextpnr-himbaechel-gowin-gui.dir/fpgaviewwidget.cc.o [ 17%] Building CXX object himbaechel/uarch/gowin/gui/CMakeFiles/nextpnr-himbaechel-gowin-gui.dir/basewindow.cc.o [ 17%] Building CXX object himbaechel/uarch/gowin/gui/CMakeFiles/nextpnr-himbaechel-gowin-gui.dir/line_editor.cc.o [ 17%] Building CXX object himbaechel/uarch/gatemate/gui/CMakeFiles/nextpnr-himbaechel-gatemate-gui.dir/nextpnr-himbaechel-gatemate-gui_autogen/mocs_compilation.cpp.o [ 17%] Building CXX object himbaechel/uarch/gowin/gui/CMakeFiles/nextpnr-himbaechel-gowin-gui.dir/nextpnr-himbaechel-gowin-gui_autogen/mocs_compilation.cpp.o [ 17%] Building CXX object himbaechel/uarch/gowin/gui/CMakeFiles/nextpnr-himbaechel-gowin-gui.dir/application.cc.o [ 17%] Building CXX object himbaechel/uarch/gowin/gui/CMakeFiles/nextpnr-himbaechel-gowin-gui.dir/designwidget.cc.o [ 17%] Building CXX object himbaechel/uarch/gatemate/gui/CMakeFiles/nextpnr-himbaechel-gatemate-gui.dir/lineshader.cc.o [ 18%] Building CXX object himbaechel/uarch/gatemate/gui/CMakeFiles/nextpnr-himbaechel-gatemate-gui.dir/designwidget.cc.o [ 17%] Building CXX object himbaechel/uarch/gowin/gui/CMakeFiles/nextpnr-himbaechel-gowin-gui.dir/lineshader.cc.o [ 18%] Building CXX object himbaechel/uarch/gatemate/gui/CMakeFiles/nextpnr-himbaechel-gatemate-gui.dir/basewindow.cc.o [ 18%] Building CXX object himbaechel/uarch/gowin/gui/CMakeFiles/nextpnr-himbaechel-gowin-gui.dir/pythontab.cc.o [ 18%] Building CXX object himbaechel/uarch/gatemate/gui/CMakeFiles/nextpnr-himbaechel-gatemate-gui.dir/line_editor.cc.o [ 18%] Building CXX object himbaechel/uarch/gatemate/gui/CMakeFiles/nextpnr-himbaechel-gatemate-gui.dir/fpgaviewwidget.cc.o [ 18%] Building CXX object himbaechel/uarch/gowin/gui/CMakeFiles/nextpnr-himbaechel-gowin-gui.dir/pyconsole.cc.o cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/himbaechel/uarch/gowin/gui && /gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/bin/c++ -DARCHNAME=himbaechel -DARCH_HIMBAECHEL -DNEXTPNR_NAMESPACE=nextpnr_himbaechel -DNO_RUST -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/himbaechel/uarch/gowin/gui -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/himbaechel/uarch/gowin/gui/nextpnr-himbaechel-gowin-gui_autogen/include -I/gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/include/python3.11 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/himbaechel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/frontend -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/json -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/QtPropertyBrowser/src -I/gnu/store/lkr00hf38xnc265pziwm68bpii3xdg8q-imgui-1.86/include/imgui -I/gnu/store/ny8visn9x0y1r3bfylrirfbjprg3nh15-qtimgui-0.0-0.48d64a7/include/qtimgui -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/../3rdparty/python-console -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/../3rdparty/python-console/modified -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/himbaechel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/common -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtWidgets -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtGui -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtCore -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/lib/qt5/mkspecs/linux-g++ -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtOpenGL -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -fdiagnostics-color=always -fPIC -MD -MT himbaechel/uarch/gowin/gui/CMakeFiles/nextpnr-himbaechel-gowin-gui.dir/basewindow.cc.o -MF CMakeFiles/nextpnr-himbaechel-gowin-gui.dir/basewindow.cc.o.d -o CMakeFiles/nextpnr-himbaechel-gowin-gui.dir/basewindow.cc.o -c /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/basewindow.cc cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/himbaechel/uarch/gatemate/gui && /gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/bin/c++ -DARCHNAME=himbaechel -DARCH_HIMBAECHEL -DNEXTPNR_NAMESPACE=nextpnr_himbaechel -DNO_RUST -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/himbaechel/uarch/gatemate/gui -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/himbaechel/uarch/gatemate/gui/nextpnr-himbaechel-gatemate-gui_autogen/include -I/gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/include/python3.11 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/himbaechel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/frontend -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/json -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/QtPropertyBrowser/src -I/gnu/store/lkr00hf38xnc265pziwm68bpii3xdg8q-imgui-1.86/include/imgui -I/gnu/store/ny8visn9x0y1r3bfylrirfbjprg3nh15-qtimgui-0.0-0.48d64a7/include/qtimgui -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/../3rdparty/python-console -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/../3rdparty/python-console/modified -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/himbaechel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/common -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtWidgets -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtGui -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtCore -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/lib/qt5/mkspecs/linux-g++ -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtOpenGL -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -fdiagnostics-color=always -fPIC -MD -MT himbaechel/uarch/gatemate/gui/CMakeFiles/nextpnr-himbaechel-gatemate-gui.dir/nextpnr-himbaechel-gatemate-gui_autogen/mocs_compilation.cpp.o -MF CMakeFiles/nextpnr-himbaechel-gatemate-gui.dir/nextpnr-himbaechel-gatemate-gui_autogen/mocs_compilation.cpp.o.d -o CMakeFiles/nextpnr-himbaechel-gatemate-gui.dir/nextpnr-himbaechel-gatemate-gui_autogen/mocs_compilation.cpp.o -c /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/himbaechel/uarch/gatemate/gui/nextpnr-himbaechel-gatemate-gui_autogen/mocs_compilation.cpp [ 18%] Building CXX object himbaechel/uarch/gowin/gui/CMakeFiles/nextpnr-himbaechel-gowin-gui.dir/qrc_base.cpp.o cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/himbaechel/uarch/gowin/gui && /gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/bin/c++ -DARCHNAME=himbaechel -DARCH_HIMBAECHEL -DNEXTPNR_NAMESPACE=nextpnr_himbaechel -DNO_RUST -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/himbaechel/uarch/gowin/gui -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/himbaechel/uarch/gowin/gui/nextpnr-himbaechel-gowin-gui_autogen/include -I/gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/include/python3.11 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/himbaechel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/frontend -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/json -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/QtPropertyBrowser/src -I/gnu/store/lkr00hf38xnc265pziwm68bpii3xdg8q-imgui-1.86/include/imgui -I/gnu/store/ny8visn9x0y1r3bfylrirfbjprg3nh15-qtimgui-0.0-0.48d64a7/include/qtimgui -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/../3rdparty/python-console -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/../3rdparty/python-console/modified -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/himbaechel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/common -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtWidgets -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtGui -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtCore -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/lib/qt5/mkspecs/linux-g++ -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtOpenGL -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -fdiagnostics-color=always -fPIC -MD -MT himbaechel/uarch/gowin/gui/CMakeFiles/nextpnr-himbaechel-gowin-gui.dir/nextpnr-himbaechel-gowin-gui_autogen/mocs_compilation.cpp.o -MF CMakeFiles/nextpnr-himbaechel-gowin-gui.dir/nextpnr-himbaechel-gowin-gui_autogen/mocs_compilation.cpp.o.d -o CMakeFiles/nextpnr-himbaechel-gowin-gui.dir/nextpnr-himbaechel-gowin-gui_autogen/mocs_compilation.cpp.o -c /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/himbaechel/uarch/gowin/gui/nextpnr-himbaechel-gowin-gui_autogen/mocs_compilation.cpp cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/himbaechel/uarch/gatemate/gui && /gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/bin/c++ -DARCHNAME=himbaechel -DARCH_HIMBAECHEL -DNEXTPNR_NAMESPACE=nextpnr_himbaechel -DNO_RUST -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/himbaechel/uarch/gatemate/gui -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/himbaechel/uarch/gatemate/gui/nextpnr-himbaechel-gatemate-gui_autogen/include -I/gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/include/python3.11 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/himbaechel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/frontend -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/json -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/QtPropertyBrowser/src -I/gnu/store/lkr00hf38xnc265pziwm68bpii3xdg8q-imgui-1.86/include/imgui -I/gnu/store/ny8visn9x0y1r3bfylrirfbjprg3nh15-qtimgui-0.0-0.48d64a7/include/qtimgui -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/../3rdparty/python-console -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/../3rdparty/python-console/modified -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/himbaechel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/common -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtWidgets -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtGui -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtCore -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/lib/qt5/mkspecs/linux-g++ -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtOpenGL -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -fdiagnostics-color=always -fPIC -MD -MT himbaechel/uarch/gatemate/gui/CMakeFiles/nextpnr-himbaechel-gatemate-gui.dir/basewindow.cc.o -MF CMakeFiles/nextpnr-himbaechel-gatemate-gui.dir/basewindow.cc.o.d -o CMakeFiles/nextpnr-himbaechel-gatemate-gui.dir/basewindow.cc.o -c /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/basewindow.cc [ 18%] Building CXX object himbaechel/uarch/gatemate/gui/CMakeFiles/nextpnr-himbaechel-gatemate-gui.dir/pythontab.cc.o [ 18%] Building CXX object himbaechel/uarch/gatemate/gui/CMakeFiles/nextpnr-himbaechel-gatemate-gui.dir/treemodel.cc.o [ 19%] Building CXX object himbaechel/uarch/gowin/gui/CMakeFiles/nextpnr-himbaechel-gowin-gui.dir/qrc_nextpnr.cpp.o cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/himbaechel/uarch/gowin/gui && /gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/bin/c++ -DARCHNAME=himbaechel -DARCH_HIMBAECHEL -DNEXTPNR_NAMESPACE=nextpnr_himbaechel -DNO_RUST -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/himbaechel/uarch/gowin/gui -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/himbaechel/uarch/gowin/gui/nextpnr-himbaechel-gowin-gui_autogen/include -I/gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/include/python3.11 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/himbaechel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/frontend -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/json -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/QtPropertyBrowser/src -I/gnu/store/lkr00hf38xnc265pziwm68bpii3xdg8q-imgui-1.86/include/imgui -I/gnu/store/ny8visn9x0y1r3bfylrirfbjprg3nh15-qtimgui-0.0-0.48d64a7/include/qtimgui -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/../3rdparty/python-console -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/../3rdparty/python-console/modified -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/himbaechel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/common -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtWidgets -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtGui -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtCore -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/lib/qt5/mkspecs/linux-g++ -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtOpenGL -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -fdiagnostics-color=always -fPIC -MD -MT himbaechel/uarch/gowin/gui/CMakeFiles/nextpnr-himbaechel-gowin-gui.dir/application.cc.o -MF CMakeFiles/nextpnr-himbaechel-gowin-gui.dir/application.cc.o.d -o CMakeFiles/nextpnr-himbaechel-gowin-gui.dir/application.cc.o -c /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/application.cc [ 19%] Building CXX object himbaechel/uarch/gowin/gui/CMakeFiles/nextpnr-himbaechel-gowin-gui.dir/worker.cc.o [ 19%] Building CXX object himbaechel/uarch/gowin/gui/CMakeFiles/nextpnr-himbaechel-gowin-gui.dir/treemodel.cc.o [ 19%] Building CXX object himbaechel/uarch/gatemate/gui/CMakeFiles/nextpnr-himbaechel-gatemate-gui.dir/qrc_base.cpp.o cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/himbaechel/uarch/gowin/gui && /gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/bin/c++ -DARCHNAME=himbaechel -DARCH_HIMBAECHEL -DNEXTPNR_NAMESPACE=nextpnr_himbaechel -DNO_RUST -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/himbaechel/uarch/gowin/gui -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/himbaechel/uarch/gowin/gui/nextpnr-himbaechel-gowin-gui_autogen/include -I/gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/include/python3.11 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/himbaechel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/frontend -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/json -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/QtPropertyBrowser/src -I/gnu/store/lkr00hf38xnc265pziwm68bpii3xdg8q-imgui-1.86/include/imgui -I/gnu/store/ny8visn9x0y1r3bfylrirfbjprg3nh15-qtimgui-0.0-0.48d64a7/include/qtimgui -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/../3rdparty/python-console -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/../3rdparty/python-console/modified -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/himbaechel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/common -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtWidgets -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtGui -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtCore -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/lib/qt5/mkspecs/linux-g++ -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtOpenGL -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -fdiagnostics-color=always -fPIC -MD -MT himbaechel/uarch/gowin/gui/CMakeFiles/nextpnr-himbaechel-gowin-gui.dir/designwidget.cc.o -MF CMakeFiles/nextpnr-himbaechel-gowin-gui.dir/designwidget.cc.o.d -o CMakeFiles/nextpnr-himbaechel-gowin-gui.dir/designwidget.cc.o -c /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/designwidget.cc [ 19%] Building CXX object himbaechel/uarch/gowin/gui/CMakeFiles/nextpnr-himbaechel-gowin-gui.dir/__/3rdparty/python-console/ParseHelper.BlockParseState.cpp.o cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/himbaechel/uarch/gatemate/gui && /gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/bin/c++ -DARCHNAME=himbaechel -DARCH_HIMBAECHEL -DNEXTPNR_NAMESPACE=nextpnr_himbaechel -DNO_RUST -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/himbaechel/uarch/gatemate/gui -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/himbaechel/uarch/gatemate/gui/nextpnr-himbaechel-gatemate-gui_autogen/include -I/gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/include/python3.11 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/himbaechel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/frontend -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/json -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/QtPropertyBrowser/src -I/gnu/store/lkr00hf38xnc265pziwm68bpii3xdg8q-imgui-1.86/include/imgui -I/gnu/store/ny8visn9x0y1r3bfylrirfbjprg3nh15-qtimgui-0.0-0.48d64a7/include/qtimgui -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/../3rdparty/python-console -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/../3rdparty/python-console/modified -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/himbaechel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/common -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtWidgets -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtGui -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtCore -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/lib/qt5/mkspecs/linux-g++ -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtOpenGL -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -fdiagnostics-color=always -fPIC -MD -MT himbaechel/uarch/gatemate/gui/CMakeFiles/nextpnr-himbaechel-gatemate-gui.dir/designwidget.cc.o -MF CMakeFiles/nextpnr-himbaechel-gatemate-gui.dir/designwidget.cc.o.d -o CMakeFiles/nextpnr-himbaechel-gatemate-gui.dir/designwidget.cc.o -c /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/designwidget.cc [ 19%] Building CXX object himbaechel/uarch/gowin/gui/CMakeFiles/nextpnr-himbaechel-gowin-gui.dir/himbaechel/mainwindow.cc.o cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/himbaechel/uarch/gowin/gui && /gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/bin/c++ -DARCHNAME=himbaechel -DARCH_HIMBAECHEL -DNEXTPNR_NAMESPACE=nextpnr_himbaechel -DNO_RUST -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/himbaechel/uarch/gowin/gui -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/himbaechel/uarch/gowin/gui/nextpnr-himbaechel-gowin-gui_autogen/include -I/gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/include/python3.11 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/himbaechel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/frontend -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/json -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/QtPropertyBrowser/src -I/gnu/store/lkr00hf38xnc265pziwm68bpii3xdg8q-imgui-1.86/include/imgui -I/gnu/store/ny8visn9x0y1r3bfylrirfbjprg3nh15-qtimgui-0.0-0.48d64a7/include/qtimgui -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/../3rdparty/python-console -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/../3rdparty/python-console/modified -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/himbaechel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/common -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtWidgets -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtGui -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtCore -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/lib/qt5/mkspecs/linux-g++ -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtOpenGL -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -fdiagnostics-color=always -fPIC -MD -MT himbaechel/uarch/gowin/gui/CMakeFiles/nextpnr-himbaechel-gowin-gui.dir/fpgaviewwidget.cc.o -MF CMakeFiles/nextpnr-himbaechel-gowin-gui.dir/fpgaviewwidget.cc.o.d -o CMakeFiles/nextpnr-himbaechel-gowin-gui.dir/fpgaviewwidget.cc.o -c /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/fpgaviewwidget.cc [ 19%] Building CXX object himbaechel/uarch/gowin/gui/CMakeFiles/nextpnr-himbaechel-gowin-gui.dir/__/3rdparty/python-console/ParseHelper.cpp.o [ 19%] Building CXX object himbaechel/uarch/gatemate/gui/CMakeFiles/nextpnr-himbaechel-gatemate-gui.dir/worker.cc.o cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/himbaechel/uarch/gatemate/gui && /gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/bin/c++ -DARCHNAME=himbaechel -DARCH_HIMBAECHEL -DNEXTPNR_NAMESPACE=nextpnr_himbaechel -DNO_RUST -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/himbaechel/uarch/gatemate/gui -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/himbaechel/uarch/gatemate/gui/nextpnr-himbaechel-gatemate-gui_autogen/include -I/gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/include/python3.11 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/himbaechel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/frontend -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/json -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/QtPropertyBrowser/src -I/gnu/store/lkr00hf38xnc265pziwm68bpii3xdg8q-imgui-1.86/include/imgui -I/gnu/store/ny8visn9x0y1r3bfylrirfbjprg3nh15-qtimgui-0.0-0.48d64a7/include/qtimgui -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/../3rdparty/python-console -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/../3rdparty/python-console/modified -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/himbaechel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/common -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtWidgets -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtGui -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtCore -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/lib/qt5/mkspecs/linux-g++ -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtOpenGL -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -fdiagnostics-color=always -fPIC -MD -MT himbaechel/uarch/gatemate/gui/CMakeFiles/nextpnr-himbaechel-gatemate-gui.dir/fpgaviewwidget.cc.o -MF CMakeFiles/nextpnr-himbaechel-gatemate-gui.dir/fpgaviewwidget.cc.o.d -o CMakeFiles/nextpnr-himbaechel-gatemate-gui.dir/fpgaviewwidget.cc.o -c /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/fpgaviewwidget.cc [ 19%] Building CXX object himbaechel/uarch/gatemate/gui/CMakeFiles/nextpnr-himbaechel-gatemate-gui.dir/qrc_nextpnr.cpp.o cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/himbaechel/uarch/gowin/gui && /gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/bin/c++ -DARCHNAME=himbaechel -DARCH_HIMBAECHEL -DNEXTPNR_NAMESPACE=nextpnr_himbaechel -DNO_RUST -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/himbaechel/uarch/gowin/gui -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/himbaechel/uarch/gowin/gui/nextpnr-himbaechel-gowin-gui_autogen/include -I/gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/include/python3.11 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/himbaechel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/frontend -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/json -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/QtPropertyBrowser/src -I/gnu/store/lkr00hf38xnc265pziwm68bpii3xdg8q-imgui-1.86/include/imgui -I/gnu/store/ny8visn9x0y1r3bfylrirfbjprg3nh15-qtimgui-0.0-0.48d64a7/include/qtimgui -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/../3rdparty/python-console -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/../3rdparty/python-console/modified -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/himbaechel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/common -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtWidgets -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtGui -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtCore -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/lib/qt5/mkspecs/linux-g++ -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtOpenGL -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -fdiagnostics-color=always -fPIC -MD -MT himbaechel/uarch/gowin/gui/CMakeFiles/nextpnr-himbaechel-gowin-gui.dir/line_editor.cc.o -MF CMakeFiles/nextpnr-himbaechel-gowin-gui.dir/line_editor.cc.o.d -o CMakeFiles/nextpnr-himbaechel-gowin-gui.dir/line_editor.cc.o -c /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/line_editor.cc [ 19%] Building CXX object himbaechel/uarch/gowin/gui/CMakeFiles/nextpnr-himbaechel-gowin-gui.dir/__/3rdparty/python-console/ColumnFormatter.cpp.o cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/himbaechel/uarch/gatemate/gui && /gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/bin/c++ -DARCHNAME=himbaechel -DARCH_HIMBAECHEL -DNEXTPNR_NAMESPACE=nextpnr_himbaechel -DNO_RUST -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/himbaechel/uarch/gatemate/gui -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/himbaechel/uarch/gatemate/gui/nextpnr-himbaechel-gatemate-gui_autogen/include -I/gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/include/python3.11 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/himbaechel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/frontend -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/json -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/QtPropertyBrowser/src -I/gnu/store/lkr00hf38xnc265pziwm68bpii3xdg8q-imgui-1.86/include/imgui -I/gnu/store/ny8visn9x0y1r3bfylrirfbjprg3nh15-qtimgui-0.0-0.48d64a7/include/qtimgui -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/../3rdparty/python-console -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/../3rdparty/python-console/modified -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/himbaechel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/common -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtWidgets -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtGui -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtCore -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/lib/qt5/mkspecs/linux-g++ -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtOpenGL -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -fdiagnostics-color=always -fPIC -MD -MT himbaechel/uarch/gatemate/gui/CMakeFiles/nextpnr-himbaechel-gatemate-gui.dir/line_editor.cc.o -MF CMakeFiles/nextpnr-himbaechel-gatemate-gui.dir/line_editor.cc.o.d -o CMakeFiles/nextpnr-himbaechel-gatemate-gui.dir/line_editor.cc.o -c /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/line_editor.cc cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/himbaechel/uarch/gowin/gui && /gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/bin/c++ -DARCHNAME=himbaechel -DARCH_HIMBAECHEL -DNEXTPNR_NAMESPACE=nextpnr_himbaechel -DNO_RUST -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/himbaechel/uarch/gowin/gui -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/himbaechel/uarch/gowin/gui/nextpnr-himbaechel-gowin-gui_autogen/include -I/gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/include/python3.11 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/himbaechel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/frontend -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/json -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/QtPropertyBrowser/src -I/gnu/store/lkr00hf38xnc265pziwm68bpii3xdg8q-imgui-1.86/include/imgui -I/gnu/store/ny8visn9x0y1r3bfylrirfbjprg3nh15-qtimgui-0.0-0.48d64a7/include/qtimgui -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/../3rdparty/python-console -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/../3rdparty/python-console/modified -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/himbaechel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/common -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtWidgets -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtGui -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtCore -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/lib/qt5/mkspecs/linux-g++ -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtOpenGL -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -fdiagnostics-color=always -fPIC -MD -MT himbaechel/uarch/gowin/gui/CMakeFiles/nextpnr-himbaechel-gowin-gui.dir/lineshader.cc.o -MF CMakeFiles/nextpnr-himbaechel-gowin-gui.dir/lineshader.cc.o.d -o CMakeFiles/nextpnr-himbaechel-gowin-gui.dir/lineshader.cc.o -c /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/lineshader.cc cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/himbaechel/uarch/gatemate/gui && /gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/bin/c++ -DARCHNAME=himbaechel -DARCH_HIMBAECHEL -DNEXTPNR_NAMESPACE=nextpnr_himbaechel -DNO_RUST -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/himbaechel/uarch/gatemate/gui -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/himbaechel/uarch/gatemate/gui/nextpnr-himbaechel-gatemate-gui_autogen/include -I/gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/include/python3.11 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/himbaechel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/frontend -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/json -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/QtPropertyBrowser/src -I/gnu/store/lkr00hf38xnc265pziwm68bpii3xdg8q-imgui-1.86/include/imgui -I/gnu/store/ny8visn9x0y1r3bfylrirfbjprg3nh15-qtimgui-0.0-0.48d64a7/include/qtimgui -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/../3rdparty/python-console -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/../3rdparty/python-console/modified -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/himbaechel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/common -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtWidgets -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtGui -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtCore -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/lib/qt5/mkspecs/linux-g++ -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtOpenGL -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -fdiagnostics-color=always -fPIC -MD -MT himbaechel/uarch/gatemate/gui/CMakeFiles/nextpnr-himbaechel-gatemate-gui.dir/lineshader.cc.o -MF CMakeFiles/nextpnr-himbaechel-gatemate-gui.dir/lineshader.cc.o.d -o CMakeFiles/nextpnr-himbaechel-gatemate-gui.dir/lineshader.cc.o -c /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/lineshader.cc [ 19%] Building CXX object himbaechel/uarch/gatemate/gui/CMakeFiles/nextpnr-himbaechel-gatemate-gui.dir/__/3rdparty/python-console/ParseHelper.cpp.o [ 20%] Building CXX object himbaechel/uarch/gowin/gui/CMakeFiles/nextpnr-himbaechel-gowin-gui.dir/__/3rdparty/python-console/ParseHelper.ContinuationParseState.cpp.o [ 20%] Building CXX object himbaechel/uarch/gatemate/gui/CMakeFiles/nextpnr-himbaechel-gatemate-gui.dir/__/3rdparty/python-console/ParseHelper.ContinuationParseState.cpp.o [ 20%] Building CXX object himbaechel/uarch/gatemate/gui/CMakeFiles/nextpnr-himbaechel-gatemate-gui.dir/__/3rdparty/python-console/ParseHelper.BlockParseState.cpp.o [ 20%] Building CXX object himbaechel/uarch/gatemate/gui/CMakeFiles/nextpnr-himbaechel-gatemate-gui.dir/himbaechel/mainwindow.cc.o [ 21%] Building CXX object himbaechel/uarch/gatemate/gui/CMakeFiles/nextpnr-himbaechel-gatemate-gui.dir/__/3rdparty/python-console/ParseMessage.cpp.o [ 21%] Building CXX object himbaechel/uarch/gatemate/gui/CMakeFiles/nextpnr-himbaechel-gatemate-gui.dir/__/3rdparty/python-console/ColumnFormatter.cpp.o [ 21%] Building CXX object himbaechel/uarch/gowin/gui/CMakeFiles/nextpnr-himbaechel-gowin-gui.dir/__/3rdparty/python-console/modified/pyredirector.cc.o [ 21%] Building CXX object himbaechel/uarch/gowin/gui/CMakeFiles/nextpnr-himbaechel-gowin-gui.dir/__/3rdparty/python-console/ParseHelper.BracketParseState.cpp.o [ 21%] Building CXX object himbaechel/uarch/gowin/gui/CMakeFiles/nextpnr-himbaechel-gowin-gui.dir/__/3rdparty/python-console/ParseMessage.cpp.o [ 21%] Building CXX object himbaechel/uarch/gatemate/gui/CMakeFiles/nextpnr-himbaechel-gatemate-gui.dir/__/3rdparty/python-console/modified/pyredirector.cc.o [ 21%] Building CXX object himbaechel/uarch/gatemate/gui/CMakeFiles/nextpnr-himbaechel-gatemate-gui.dir/__/3rdparty/python-console/ParseHelper.BracketParseState.cpp.o cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/himbaechel/uarch/gowin/gui && /gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/bin/c++ -DARCHNAME=himbaechel -DARCH_HIMBAECHEL -DNEXTPNR_NAMESPACE=nextpnr_himbaechel -DNO_RUST -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/himbaechel/uarch/gowin/gui -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/himbaechel/uarch/gowin/gui/nextpnr-himbaechel-gowin-gui_autogen/include -I/gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/include/python3.11 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/himbaechel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/frontend -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/json -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/QtPropertyBrowser/src -I/gnu/store/lkr00hf38xnc265pziwm68bpii3xdg8q-imgui-1.86/include/imgui -I/gnu/store/ny8visn9x0y1r3bfylrirfbjprg3nh15-qtimgui-0.0-0.48d64a7/include/qtimgui -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/../3rdparty/python-console -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/../3rdparty/python-console/modified -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/himbaechel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/common -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtWidgets -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtGui -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtCore -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/lib/qt5/mkspecs/linux-g++ -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtOpenGL -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -fdiagnostics-color=always -fPIC -MD -MT himbaechel/uarch/gowin/gui/CMakeFiles/nextpnr-himbaechel-gowin-gui.dir/pyconsole.cc.o -MF CMakeFiles/nextpnr-himbaechel-gowin-gui.dir/pyconsole.cc.o.d -o CMakeFiles/nextpnr-himbaechel-gowin-gui.dir/pyconsole.cc.o -c /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/pyconsole.cc cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/himbaechel/uarch/gatemate/gui && /gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/bin/c++ -DARCHNAME=himbaechel -DARCH_HIMBAECHEL -DNEXTPNR_NAMESPACE=nextpnr_himbaechel -DNO_RUST -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/himbaechel/uarch/gatemate/gui -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/himbaechel/uarch/gatemate/gui/nextpnr-himbaechel-gatemate-gui_autogen/include -I/gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/include/python3.11 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/himbaechel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/frontend -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/json -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/QtPropertyBrowser/src -I/gnu/store/lkr00hf38xnc265pziwm68bpii3xdg8q-imgui-1.86/include/imgui -I/gnu/store/ny8visn9x0y1r3bfylrirfbjprg3nh15-qtimgui-0.0-0.48d64a7/include/qtimgui -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/../3rdparty/python-console -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/../3rdparty/python-console/modified -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/himbaechel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/common -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtWidgets -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtGui -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtCore -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/lib/qt5/mkspecs/linux-g++ -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtOpenGL -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -fdiagnostics-color=always -fPIC -MD -MT himbaechel/uarch/gatemate/gui/CMakeFiles/nextpnr-himbaechel-gatemate-gui.dir/application.cc.o -MF CMakeFiles/nextpnr-himbaechel-gatemate-gui.dir/application.cc.o.d -o CMakeFiles/nextpnr-himbaechel-gatemate-gui.dir/application.cc.o -c /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/application.cc cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/himbaechel/uarch/gatemate/gui && /gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/bin/c++ -DARCHNAME=himbaechel -DARCH_HIMBAECHEL -DNEXTPNR_NAMESPACE=nextpnr_himbaechel -DNO_RUST -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/himbaechel/uarch/gatemate/gui -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/himbaechel/uarch/gatemate/gui/nextpnr-himbaechel-gatemate-gui_autogen/include -I/gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/include/python3.11 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/himbaechel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/frontend -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/json -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/QtPropertyBrowser/src -I/gnu/store/lkr00hf38xnc265pziwm68bpii3xdg8q-imgui-1.86/include/imgui -I/gnu/store/ny8visn9x0y1r3bfylrirfbjprg3nh15-qtimgui-0.0-0.48d64a7/include/qtimgui -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/../3rdparty/python-console -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/../3rdparty/python-console/modified -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/himbaechel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/common -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtWidgets -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtGui -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtCore -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/lib/qt5/mkspecs/linux-g++ -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtOpenGL -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -fdiagnostics-color=always -fPIC -MD -MT himbaechel/uarch/gatemate/gui/CMakeFiles/nextpnr-himbaechel-gatemate-gui.dir/pyconsole.cc.o -MF CMakeFiles/nextpnr-himbaechel-gatemate-gui.dir/pyconsole.cc.o.d -o CMakeFiles/nextpnr-himbaechel-gatemate-gui.dir/pyconsole.cc.o -c /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/pyconsole.cc cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/himbaechel/uarch/gowin/gui && /gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/bin/c++ -DARCHNAME=himbaechel -DARCH_HIMBAECHEL -DNEXTPNR_NAMESPACE=nextpnr_himbaechel -DNO_RUST -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/himbaechel/uarch/gowin/gui -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/himbaechel/uarch/gowin/gui/nextpnr-himbaechel-gowin-gui_autogen/include -I/gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/include/python3.11 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/himbaechel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/frontend -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/json -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/QtPropertyBrowser/src -I/gnu/store/lkr00hf38xnc265pziwm68bpii3xdg8q-imgui-1.86/include/imgui -I/gnu/store/ny8visn9x0y1r3bfylrirfbjprg3nh15-qtimgui-0.0-0.48d64a7/include/qtimgui -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/../3rdparty/python-console -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/../3rdparty/python-console/modified -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/himbaechel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/common -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtWidgets -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtGui -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtCore -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/lib/qt5/mkspecs/linux-g++ -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtOpenGL -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -fdiagnostics-color=always -fPIC -MD -MT himbaechel/uarch/gowin/gui/CMakeFiles/nextpnr-himbaechel-gowin-gui.dir/pythontab.cc.o -MF CMakeFiles/nextpnr-himbaechel-gowin-gui.dir/pythontab.cc.o.d -o CMakeFiles/nextpnr-himbaechel-gowin-gui.dir/pythontab.cc.o -c /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/pythontab.cc cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/himbaechel/uarch/gatemate/gui && /gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/bin/c++ -DARCHNAME=himbaechel -DARCH_HIMBAECHEL -DNEXTPNR_NAMESPACE=nextpnr_himbaechel -DNO_RUST -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/himbaechel/uarch/gatemate/gui -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/himbaechel/uarch/gatemate/gui/nextpnr-himbaechel-gatemate-gui_autogen/include -I/gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/include/python3.11 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/himbaechel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/frontend -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/json -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/QtPropertyBrowser/src -I/gnu/store/lkr00hf38xnc265pziwm68bpii3xdg8q-imgui-1.86/include/imgui -I/gnu/store/ny8visn9x0y1r3bfylrirfbjprg3nh15-qtimgui-0.0-0.48d64a7/include/qtimgui -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/../3rdparty/python-console -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/../3rdparty/python-console/modified -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/himbaechel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/common -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtWidgets -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtGui -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtCore -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/lib/qt5/mkspecs/linux-g++ -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtOpenGL -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -fdiagnostics-color=always -fPIC -MD -MT himbaechel/uarch/gatemate/gui/CMakeFiles/nextpnr-himbaechel-gatemate-gui.dir/pythontab.cc.o -MF CMakeFiles/nextpnr-himbaechel-gatemate-gui.dir/pythontab.cc.o.d -o CMakeFiles/nextpnr-himbaechel-gatemate-gui.dir/pythontab.cc.o -c /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/pythontab.cc cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/himbaechel/uarch/gowin/gui && /gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/bin/c++ -DARCHNAME=himbaechel -DARCH_HIMBAECHEL -DNEXTPNR_NAMESPACE=nextpnr_himbaechel -DNO_RUST -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/himbaechel/uarch/gowin/gui -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/himbaechel/uarch/gowin/gui/nextpnr-himbaechel-gowin-gui_autogen/include -I/gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/include/python3.11 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/himbaechel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/frontend -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/json -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/QtPropertyBrowser/src -I/gnu/store/lkr00hf38xnc265pziwm68bpii3xdg8q-imgui-1.86/include/imgui -I/gnu/store/ny8visn9x0y1r3bfylrirfbjprg3nh15-qtimgui-0.0-0.48d64a7/include/qtimgui -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/../3rdparty/python-console -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/../3rdparty/python-console/modified -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/himbaechel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/common -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtWidgets -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtGui -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtCore -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/lib/qt5/mkspecs/linux-g++ -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtOpenGL -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -fdiagnostics-color=always -fPIC -MD -MT himbaechel/uarch/gowin/gui/CMakeFiles/nextpnr-himbaechel-gowin-gui.dir/treemodel.cc.o -MF CMakeFiles/nextpnr-himbaechel-gowin-gui.dir/treemodel.cc.o.d -o CMakeFiles/nextpnr-himbaechel-gowin-gui.dir/treemodel.cc.o -c /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/treemodel.cc cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/himbaechel/uarch/gatemate/gui && /gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/bin/c++ -DARCHNAME=himbaechel -DARCH_HIMBAECHEL -DNEXTPNR_NAMESPACE=nextpnr_himbaechel -DNO_RUST -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/himbaechel/uarch/gatemate/gui -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/himbaechel/uarch/gatemate/gui/nextpnr-himbaechel-gatemate-gui_autogen/include -I/gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/include/python3.11 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/himbaechel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/frontend -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/json -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/QtPropertyBrowser/src -I/gnu/store/lkr00hf38xnc265pziwm68bpii3xdg8q-imgui-1.86/include/imgui -I/gnu/store/ny8visn9x0y1r3bfylrirfbjprg3nh15-qtimgui-0.0-0.48d64a7/include/qtimgui -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/../3rdparty/python-console -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/../3rdparty/python-console/modified -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/himbaechel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/common -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtWidgets -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtGui -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtCore -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/lib/qt5/mkspecs/linux-g++ -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtOpenGL -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -fdiagnostics-color=always -fPIC -MD -MT himbaechel/uarch/gatemate/gui/CMakeFiles/nextpnr-himbaechel-gatemate-gui.dir/treemodel.cc.o -MF CMakeFiles/nextpnr-himbaechel-gatemate-gui.dir/treemodel.cc.o.d -o CMakeFiles/nextpnr-himbaechel-gatemate-gui.dir/treemodel.cc.o -c /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/treemodel.cc [ 21%] Building CXX object himbaechel/uarch/gowin/gui/CMakeFiles/nextpnr-himbaechel-gowin-gui.dir/__/3rdparty/python-console/modified/pyinterpreter.cc.o cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/himbaechel/uarch/gatemate/gui && /gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/bin/c++ -DARCHNAME=himbaechel -DARCH_HIMBAECHEL -DNEXTPNR_NAMESPACE=nextpnr_himbaechel -DNO_RUST -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/himbaechel/uarch/gatemate/gui -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/himbaechel/uarch/gatemate/gui/nextpnr-himbaechel-gatemate-gui_autogen/include -I/gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/include/python3.11 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/himbaechel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/frontend -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/json -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/QtPropertyBrowser/src -I/gnu/store/lkr00hf38xnc265pziwm68bpii3xdg8q-imgui-1.86/include/imgui -I/gnu/store/ny8visn9x0y1r3bfylrirfbjprg3nh15-qtimgui-0.0-0.48d64a7/include/qtimgui -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/../3rdparty/python-console -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/../3rdparty/python-console/modified -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/himbaechel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/common -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtWidgets -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtGui -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtCore -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/lib/qt5/mkspecs/linux-g++ -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtOpenGL -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -fdiagnostics-color=always -fPIC -MD -MT himbaechel/uarch/gatemate/gui/CMakeFiles/nextpnr-himbaechel-gatemate-gui.dir/worker.cc.o -MF CMakeFiles/nextpnr-himbaechel-gatemate-gui.dir/worker.cc.o.d -o CMakeFiles/nextpnr-himbaechel-gatemate-gui.dir/worker.cc.o -c /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/worker.cc [ 21%] Building CXX object himbaechel/uarch/ng-ultra/gui/CMakeFiles/nextpnr-himbaechel-ng-ultra-gui.dir/nextpnr-himbaechel-ng-ultra-gui_autogen/mocs_compilation.cpp.o cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/himbaechel/uarch/gowin/gui && /gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/bin/c++ -DARCHNAME=himbaechel -DARCH_HIMBAECHEL -DNEXTPNR_NAMESPACE=nextpnr_himbaechel -DNO_RUST -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/himbaechel/uarch/gowin/gui -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/himbaechel/uarch/gowin/gui/nextpnr-himbaechel-gowin-gui_autogen/include -I/gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/include/python3.11 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/himbaechel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/frontend -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/json -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/QtPropertyBrowser/src -I/gnu/store/lkr00hf38xnc265pziwm68bpii3xdg8q-imgui-1.86/include/imgui -I/gnu/store/ny8visn9x0y1r3bfylrirfbjprg3nh15-qtimgui-0.0-0.48d64a7/include/qtimgui -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/../3rdparty/python-console -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/../3rdparty/python-console/modified -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/himbaechel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/common -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtWidgets -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtGui -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtCore -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/lib/qt5/mkspecs/linux-g++ -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtOpenGL -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -fdiagnostics-color=always -fPIC -MD -MT himbaechel/uarch/gowin/gui/CMakeFiles/nextpnr-himbaechel-gowin-gui.dir/worker.cc.o -MF CMakeFiles/nextpnr-himbaechel-gowin-gui.dir/worker.cc.o.d -o CMakeFiles/nextpnr-himbaechel-gowin-gui.dir/worker.cc.o -c /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/worker.cc cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/himbaechel/uarch/gowin/gui && /gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/bin/c++ -DARCHNAME=himbaechel -DARCH_HIMBAECHEL -DNEXTPNR_NAMESPACE=nextpnr_himbaechel -DNO_RUST -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/himbaechel/uarch/gowin/gui -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/himbaechel/uarch/gowin/gui/nextpnr-himbaechel-gowin-gui_autogen/include -I/gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/include/python3.11 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/himbaechel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/frontend -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/json -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/QtPropertyBrowser/src -I/gnu/store/lkr00hf38xnc265pziwm68bpii3xdg8q-imgui-1.86/include/imgui -I/gnu/store/ny8visn9x0y1r3bfylrirfbjprg3nh15-qtimgui-0.0-0.48d64a7/include/qtimgui -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/../3rdparty/python-console -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/../3rdparty/python-console/modified -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/himbaechel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/common -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtWidgets -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtGui -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtCore -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/lib/qt5/mkspecs/linux-g++ -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtOpenGL -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -fdiagnostics-color=always -fPIC -MD -MT himbaechel/uarch/gowin/gui/CMakeFiles/nextpnr-himbaechel-gowin-gui.dir/himbaechel/mainwindow.cc.o -MF CMakeFiles/nextpnr-himbaechel-gowin-gui.dir/himbaechel/mainwindow.cc.o.d -o CMakeFiles/nextpnr-himbaechel-gowin-gui.dir/himbaechel/mainwindow.cc.o -c /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/himbaechel/mainwindow.cc cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/himbaechel/uarch/gatemate/gui && /gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/bin/c++ -DARCHNAME=himbaechel -DARCH_HIMBAECHEL -DNEXTPNR_NAMESPACE=nextpnr_himbaechel -DNO_RUST -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/himbaechel/uarch/gatemate/gui -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/himbaechel/uarch/gatemate/gui/nextpnr-himbaechel-gatemate-gui_autogen/include -I/gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/include/python3.11 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/himbaechel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/frontend -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/json -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/QtPropertyBrowser/src -I/gnu/store/lkr00hf38xnc265pziwm68bpii3xdg8q-imgui-1.86/include/imgui -I/gnu/store/ny8visn9x0y1r3bfylrirfbjprg3nh15-qtimgui-0.0-0.48d64a7/include/qtimgui -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/../3rdparty/python-console -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/../3rdparty/python-console/modified -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/himbaechel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/common -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtWidgets -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtGui -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtCore -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/lib/qt5/mkspecs/linux-g++ -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtOpenGL -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -fdiagnostics-color=always -fPIC -MD -MT himbaechel/uarch/gatemate/gui/CMakeFiles/nextpnr-himbaechel-gatemate-gui.dir/himbaechel/mainwindow.cc.o -MF CMakeFiles/nextpnr-himbaechel-gatemate-gui.dir/himbaechel/mainwindow.cc.o.d -o CMakeFiles/nextpnr-himbaechel-gatemate-gui.dir/himbaechel/mainwindow.cc.o -c /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/himbaechel/mainwindow.cc cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/himbaechel/uarch/gatemate/gui && /gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/bin/c++ -DARCHNAME=himbaechel -DARCH_HIMBAECHEL -DNEXTPNR_NAMESPACE=nextpnr_himbaechel -DNO_RUST -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/himbaechel/uarch/gatemate/gui -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/himbaechel/uarch/gatemate/gui/nextpnr-himbaechel-gatemate-gui_autogen/include -I/gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/include/python3.11 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/himbaechel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/frontend -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/json -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/QtPropertyBrowser/src -I/gnu/store/lkr00hf38xnc265pziwm68bpii3xdg8q-imgui-1.86/include/imgui -I/gnu/store/ny8visn9x0y1r3bfylrirfbjprg3nh15-qtimgui-0.0-0.48d64a7/include/qtimgui -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/../3rdparty/python-console -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/../3rdparty/python-console/modified -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/himbaechel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/common -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtWidgets -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtGui -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtCore -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/lib/qt5/mkspecs/linux-g++ -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtOpenGL -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -fdiagnostics-color=always -fPIC -MD -MT himbaechel/uarch/gatemate/gui/CMakeFiles/nextpnr-himbaechel-gatemate-gui.dir/qrc_base.cpp.o -MF CMakeFiles/nextpnr-himbaechel-gatemate-gui.dir/qrc_base.cpp.o.d -o CMakeFiles/nextpnr-himbaechel-gatemate-gui.dir/qrc_base.cpp.o -c /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/himbaechel/uarch/gatemate/gui/qrc_base.cpp cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/himbaechel/uarch/gatemate/gui && /gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/bin/c++ -DARCHNAME=himbaechel -DARCH_HIMBAECHEL -DNEXTPNR_NAMESPACE=nextpnr_himbaechel -DNO_RUST -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/himbaechel/uarch/gatemate/gui -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/himbaechel/uarch/gatemate/gui/nextpnr-himbaechel-gatemate-gui_autogen/include -I/gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/include/python3.11 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/himbaechel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/frontend -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/json -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/QtPropertyBrowser/src -I/gnu/store/lkr00hf38xnc265pziwm68bpii3xdg8q-imgui-1.86/include/imgui -I/gnu/store/ny8visn9x0y1r3bfylrirfbjprg3nh15-qtimgui-0.0-0.48d64a7/include/qtimgui -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/../3rdparty/python-console -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/../3rdparty/python-console/modified -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/himbaechel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/common -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtWidgets -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtGui -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtCore -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/lib/qt5/mkspecs/linux-g++ -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtOpenGL -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -fdiagnostics-color=always -fPIC -MD -MT himbaechel/uarch/gatemate/gui/CMakeFiles/nextpnr-himbaechel-gatemate-gui.dir/qrc_nextpnr.cpp.o -MF CMakeFiles/nextpnr-himbaechel-gatemate-gui.dir/qrc_nextpnr.cpp.o.d -o CMakeFiles/nextpnr-himbaechel-gatemate-gui.dir/qrc_nextpnr.cpp.o -c /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/himbaechel/uarch/gatemate/gui/qrc_nextpnr.cpp cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/himbaechel/uarch/gowin/gui && /gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/bin/c++ -DARCHNAME=himbaechel -DARCH_HIMBAECHEL -DNEXTPNR_NAMESPACE=nextpnr_himbaechel -DNO_RUST -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/himbaechel/uarch/gowin/gui -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/himbaechel/uarch/gowin/gui/nextpnr-himbaechel-gowin-gui_autogen/include -I/gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/include/python3.11 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/himbaechel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/frontend -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/json -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/QtPropertyBrowser/src -I/gnu/store/lkr00hf38xnc265pziwm68bpii3xdg8q-imgui-1.86/include/imgui -I/gnu/store/ny8visn9x0y1r3bfylrirfbjprg3nh15-qtimgui-0.0-0.48d64a7/include/qtimgui -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/../3rdparty/python-console -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/../3rdparty/python-console/modified -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/himbaechel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/common -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtWidgets -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtGui -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtCore -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/lib/qt5/mkspecs/linux-g++ -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtOpenGL -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -fdiagnostics-color=always -fPIC -MD -MT himbaechel/uarch/gowin/gui/CMakeFiles/nextpnr-himbaechel-gowin-gui.dir/qrc_base.cpp.o -MF CMakeFiles/nextpnr-himbaechel-gowin-gui.dir/qrc_base.cpp.o.d -o CMakeFiles/nextpnr-himbaechel-gowin-gui.dir/qrc_base.cpp.o -c /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/himbaechel/uarch/gowin/gui/qrc_base.cpp cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/himbaechel/uarch/gatemate/gui && /gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/bin/c++ -DARCHNAME=himbaechel -DARCH_HIMBAECHEL -DNEXTPNR_NAMESPACE=nextpnr_himbaechel -DNO_RUST -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/himbaechel/uarch/gatemate/gui -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/himbaechel/uarch/gatemate/gui/nextpnr-himbaechel-gatemate-gui_autogen/include -I/gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/include/python3.11 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/himbaechel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/frontend -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/json -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/QtPropertyBrowser/src -I/gnu/store/lkr00hf38xnc265pziwm68bpii3xdg8q-imgui-1.86/include/imgui -I/gnu/store/ny8visn9x0y1r3bfylrirfbjprg3nh15-qtimgui-0.0-0.48d64a7/include/qtimgui -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/../3rdparty/python-console -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/../3rdparty/python-console/modified -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/himbaechel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/common -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtWidgets -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtGui -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtCore -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/lib/qt5/mkspecs/linux-g++ -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtOpenGL -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -fdiagnostics-color=always -fPIC -MD -MT himbaechel/uarch/gatemate/gui/CMakeFiles/nextpnr-himbaechel-gatemate-gui.dir/__/3rdparty/python-console/ColumnFormatter.cpp.o -MF CMakeFiles/nextpnr-himbaechel-gatemate-gui.dir/__/3rdparty/python-console/ColumnFormatter.cpp.o.d -o CMakeFiles/nextpnr-himbaechel-gatemate-gui.dir/__/3rdparty/python-console/ColumnFormatter.cpp.o -c /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/python-console/ColumnFormatter.cpp cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/himbaechel/uarch/ng-ultra/gui && /gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/bin/c++ -DARCHNAME=himbaechel -DARCH_HIMBAECHEL -DNEXTPNR_NAMESPACE=nextpnr_himbaechel -DNO_RUST -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/himbaechel/uarch/ng-ultra/gui -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/himbaechel/uarch/ng-ultra/gui/nextpnr-himbaechel-ng-ultra-gui_autogen/include -I/gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/include/python3.11 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/himbaechel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/frontend -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/json -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/QtPropertyBrowser/src -I/gnu/store/lkr00hf38xnc265pziwm68bpii3xdg8q-imgui-1.86/include/imgui -I/gnu/store/ny8visn9x0y1r3bfylrirfbjprg3nh15-qtimgui-0.0-0.48d64a7/include/qtimgui -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/../3rdparty/python-console -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/../3rdparty/python-console/modified -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/himbaechel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/common -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtWidgets -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtGui -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtCore -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/lib/qt5/mkspecs/linux-g++ -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtOpenGL -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -fdiagnostics-color=always -fPIC -MD -MT himbaechel/uarch/ng-ultra/gui/CMakeFiles/nextpnr-himbaechel-ng-ultra-gui.dir/nextpnr-himbaechel-ng-ultra-gui_autogen/mocs_compilation.cpp.o -MF CMakeFiles/nextpnr-himbaechel-ng-ultra-gui.dir/nextpnr-himbaechel-ng-ultra-gui_autogen/mocs_compilation.cpp.o.d -o CMakeFiles/nextpnr-himbaechel-ng-ultra-gui.dir/nextpnr-himbaechel-ng-ultra-gui_autogen/mocs_compilation.cpp.o -c /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/himbaechel/uarch/ng-ultra/gui/nextpnr-himbaechel-ng-ultra-gui_autogen/mocs_compilation.cpp cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/himbaechel/uarch/gatemate/gui && /gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/bin/c++ -DARCHNAME=himbaechel -DARCH_HIMBAECHEL -DNEXTPNR_NAMESPACE=nextpnr_himbaechel -DNO_RUST -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/himbaechel/uarch/gatemate/gui -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/himbaechel/uarch/gatemate/gui/nextpnr-himbaechel-gatemate-gui_autogen/include -I/gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/include/python3.11 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/himbaechel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/frontend -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/json -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/QtPropertyBrowser/src -I/gnu/store/lkr00hf38xnc265pziwm68bpii3xdg8q-imgui-1.86/include/imgui -I/gnu/store/ny8visn9x0y1r3bfylrirfbjprg3nh15-qtimgui-0.0-0.48d64a7/include/qtimgui -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/../3rdparty/python-console -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/../3rdparty/python-console/modified -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/himbaechel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/common -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtWidgets -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtGui -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtCore -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/lib/qt5/mkspecs/linux-g++ -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtOpenGL -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -fdiagnostics-color=always -fPIC -MD -MT himbaechel/uarch/gatemate/gui/CMakeFiles/nextpnr-himbaechel-gatemate-gui.dir/__/3rdparty/python-console/ParseHelper.cpp.o -MF CMakeFiles/nextpnr-himbaechel-gatemate-gui.dir/__/3rdparty/python-console/ParseHelper.cpp.o.d -o CMakeFiles/nextpnr-himbaechel-gatemate-gui.dir/__/3rdparty/python-console/ParseHelper.cpp.o -c /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/python-console/ParseHelper.cpp cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/himbaechel/uarch/gatemate/gui && /gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/bin/c++ -DARCHNAME=himbaechel -DARCH_HIMBAECHEL -DNEXTPNR_NAMESPACE=nextpnr_himbaechel -DNO_RUST -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/himbaechel/uarch/gatemate/gui -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/himbaechel/uarch/gatemate/gui/nextpnr-himbaechel-gatemate-gui_autogen/include -I/gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/include/python3.11 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/himbaechel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/frontend -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/json -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/QtPropertyBrowser/src -I/gnu/store/lkr00hf38xnc265pziwm68bpii3xdg8q-imgui-1.86/include/imgui -I/gnu/store/ny8visn9x0y1r3bfylrirfbjprg3nh15-qtimgui-0.0-0.48d64a7/include/qtimgui -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/../3rdparty/python-console -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/../3rdparty/python-console/modified -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/himbaechel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/common -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtWidgets -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtGui -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtCore -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/lib/qt5/mkspecs/linux-g++ -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtOpenGL -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -fdiagnostics-color=always -fPIC -MD -MT himbaechel/uarch/gatemate/gui/CMakeFiles/nextpnr-himbaechel-gatemate-gui.dir/__/3rdparty/python-console/ParseHelper.BlockParseState.cpp.o -MF CMakeFiles/nextpnr-himbaechel-gatemate-gui.dir/__/3rdparty/python-console/ParseHelper.BlockParseState.cpp.o.d -o CMakeFiles/nextpnr-himbaechel-gatemate-gui.dir/__/3rdparty/python-console/ParseHelper.BlockParseState.cpp.o -c /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/python-console/ParseHelper.BlockParseState.cpp cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/himbaechel/uarch/gatemate/gui && /gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/bin/c++ -DARCHNAME=himbaechel -DARCH_HIMBAECHEL -DNEXTPNR_NAMESPACE=nextpnr_himbaechel -DNO_RUST -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/himbaechel/uarch/gatemate/gui -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/himbaechel/uarch/gatemate/gui/nextpnr-himbaechel-gatemate-gui_autogen/include -I/gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/include/python3.11 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/himbaechel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/frontend -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/json -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/QtPropertyBrowser/src -I/gnu/store/lkr00hf38xnc265pziwm68bpii3xdg8q-imgui-1.86/include/imgui -I/gnu/store/ny8visn9x0y1r3bfylrirfbjprg3nh15-qtimgui-0.0-0.48d64a7/include/qtimgui -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/../3rdparty/python-console -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/../3rdparty/python-console/modified -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/himbaechel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/common -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtWidgets -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtGui -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtCore -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/lib/qt5/mkspecs/linux-g++ -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtOpenGL -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -fdiagnostics-color=always -fPIC -MD -MT himbaechel/uarch/gatemate/gui/CMakeFiles/nextpnr-himbaechel-gatemate-gui.dir/__/3rdparty/python-console/ParseHelper.BracketParseState.cpp.o -MF CMakeFiles/nextpnr-himbaechel-gatemate-gui.dir/__/3rdparty/python-console/ParseHelper.BracketParseState.cpp.o.d -o CMakeFiles/nextpnr-himbaechel-gatemate-gui.dir/__/3rdparty/python-console/ParseHelper.BracketParseState.cpp.o -c /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/python-console/ParseHelper.BracketParseState.cpp cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/himbaechel/uarch/gatemate/gui && /gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/bin/c++ -DARCHNAME=himbaechel -DARCH_HIMBAECHEL -DNEXTPNR_NAMESPACE=nextpnr_himbaechel -DNO_RUST -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/himbaechel/uarch/gatemate/gui -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/himbaechel/uarch/gatemate/gui/nextpnr-himbaechel-gatemate-gui_autogen/include -I/gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/include/python3.11 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/himbaechel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/frontend -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/json -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/QtPropertyBrowser/src -I/gnu/store/lkr00hf38xnc265pziwm68bpii3xdg8q-imgui-1.86/include/imgui -I/gnu/store/ny8visn9x0y1r3bfylrirfbjprg3nh15-qtimgui-0.0-0.48d64a7/include/qtimgui -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/../3rdparty/python-console -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/../3rdparty/python-console/modified -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/himbaechel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/common -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtWidgets -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtGui -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtCore -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/lib/qt5/mkspecs/linux-g++ -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtOpenGL -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -fdiagnostics-color=always -fPIC -MD -MT himbaechel/uarch/gatemate/gui/CMakeFiles/nextpnr-himbaechel-gatemate-gui.dir/__/3rdparty/python-console/ParseHelper.ContinuationParseState.cpp.o -MF CMakeFiles/nextpnr-himbaechel-gatemate-gui.dir/__/3rdparty/python-console/ParseHelper.ContinuationParseState.cpp.o.d -o CMakeFiles/nextpnr-himbaechel-gatemate-gui.dir/__/3rdparty/python-console/ParseHelper.ContinuationParseState.cpp.o -c /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/python-console/ParseHelper.ContinuationParseState.cpp cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/himbaechel/uarch/gowin/gui && /gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/bin/c++ -DARCHNAME=himbaechel -DARCH_HIMBAECHEL -DNEXTPNR_NAMESPACE=nextpnr_himbaechel -DNO_RUST -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/himbaechel/uarch/gowin/gui -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/himbaechel/uarch/gowin/gui/nextpnr-himbaechel-gowin-gui_autogen/include -I/gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/include/python3.11 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/himbaechel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/frontend -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/json -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/QtPropertyBrowser/src -I/gnu/store/lkr00hf38xnc265pziwm68bpii3xdg8q-imgui-1.86/include/imgui -I/gnu/store/ny8visn9x0y1r3bfylrirfbjprg3nh15-qtimgui-0.0-0.48d64a7/include/qtimgui -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/../3rdparty/python-console -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/../3rdparty/python-console/modified -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/himbaechel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/common -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtWidgets -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtGui -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtCore -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/lib/qt5/mkspecs/linux-g++ -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtOpenGL -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -fdiagnostics-color=always -fPIC -MD -MT himbaechel/uarch/gowin/gui/CMakeFiles/nextpnr-himbaechel-gowin-gui.dir/qrc_nextpnr.cpp.o -MF CMakeFiles/nextpnr-himbaechel-gowin-gui.dir/qrc_nextpnr.cpp.o.d -o CMakeFiles/nextpnr-himbaechel-gowin-gui.dir/qrc_nextpnr.cpp.o -c /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/himbaechel/uarch/gowin/gui/qrc_nextpnr.cpp cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/himbaechel/uarch/gatemate/gui && /gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/bin/c++ -DARCHNAME=himbaechel -DARCH_HIMBAECHEL -DNEXTPNR_NAMESPACE=nextpnr_himbaechel -DNO_RUST -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/himbaechel/uarch/gatemate/gui -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/himbaechel/uarch/gatemate/gui/nextpnr-himbaechel-gatemate-gui_autogen/include -I/gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/include/python3.11 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/himbaechel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/frontend -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/json -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/QtPropertyBrowser/src -I/gnu/store/lkr00hf38xnc265pziwm68bpii3xdg8q-imgui-1.86/include/imgui -I/gnu/store/ny8visn9x0y1r3bfylrirfbjprg3nh15-qtimgui-0.0-0.48d64a7/include/qtimgui -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/../3rdparty/python-console -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/../3rdparty/python-console/modified -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/himbaechel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/common -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtWidgets -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtGui -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtCore -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/lib/qt5/mkspecs/linux-g++ -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtOpenGL -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -fdiagnostics-color=always -fPIC -MD -MT himbaechel/uarch/gatemate/gui/CMakeFiles/nextpnr-himbaechel-gatemate-gui.dir/__/3rdparty/python-console/ParseMessage.cpp.o -MF CMakeFiles/nextpnr-himbaechel-gatemate-gui.dir/__/3rdparty/python-console/ParseMessage.cpp.o.d -o CMakeFiles/nextpnr-himbaechel-gatemate-gui.dir/__/3rdparty/python-console/ParseMessage.cpp.o -c /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/python-console/ParseMessage.cpp cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/himbaechel/uarch/gowin/gui && /gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/bin/c++ -DARCHNAME=himbaechel -DARCH_HIMBAECHEL -DNEXTPNR_NAMESPACE=nextpnr_himbaechel -DNO_RUST -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/himbaechel/uarch/gowin/gui -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/himbaechel/uarch/gowin/gui/nextpnr-himbaechel-gowin-gui_autogen/include -I/gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/include/python3.11 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/himbaechel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/frontend -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/json -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/QtPropertyBrowser/src -I/gnu/store/lkr00hf38xnc265pziwm68bpii3xdg8q-imgui-1.86/include/imgui -I/gnu/store/ny8visn9x0y1r3bfylrirfbjprg3nh15-qtimgui-0.0-0.48d64a7/include/qtimgui -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/../3rdparty/python-console -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/../3rdparty/python-console/modified -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/himbaechel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/common -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtWidgets -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtGui -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtCore -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/lib/qt5/mkspecs/linux-g++ -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtOpenGL -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -fdiagnostics-color=always -fPIC -MD -MT himbaechel/uarch/gowin/gui/CMakeFiles/nextpnr-himbaechel-gowin-gui.dir/__/3rdparty/python-console/ColumnFormatter.cpp.o -MF CMakeFiles/nextpnr-himbaechel-gowin-gui.dir/__/3rdparty/python-console/ColumnFormatter.cpp.o.d -o CMakeFiles/nextpnr-himbaechel-gowin-gui.dir/__/3rdparty/python-console/ColumnFormatter.cpp.o -c /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/python-console/ColumnFormatter.cpp cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/himbaechel/uarch/gatemate/gui && /gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/bin/c++ -DARCHNAME=himbaechel -DARCH_HIMBAECHEL -DNEXTPNR_NAMESPACE=nextpnr_himbaechel -DNO_RUST -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/himbaechel/uarch/gatemate/gui -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/himbaechel/uarch/gatemate/gui/nextpnr-himbaechel-gatemate-gui_autogen/include -I/gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/include/python3.11 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/himbaechel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/frontend -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/json -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/QtPropertyBrowser/src -I/gnu/store/lkr00hf38xnc265pziwm68bpii3xdg8q-imgui-1.86/include/imgui -I/gnu/store/ny8visn9x0y1r3bfylrirfbjprg3nh15-qtimgui-0.0-0.48d64a7/include/qtimgui -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/../3rdparty/python-console -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/../3rdparty/python-console/modified -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/himbaechel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/common -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtWidgets -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtGui -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtCore -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/lib/qt5/mkspecs/linux-g++ -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtOpenGL -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -fdiagnostics-color=always -fPIC -MD -MT himbaechel/uarch/gatemate/gui/CMakeFiles/nextpnr-himbaechel-gatemate-gui.dir/__/3rdparty/python-console/modified/pyredirector.cc.o -MF CMakeFiles/nextpnr-himbaechel-gatemate-gui.dir/__/3rdparty/python-console/modified/pyredirector.cc.o.d -o CMakeFiles/nextpnr-himbaechel-gatemate-gui.dir/__/3rdparty/python-console/modified/pyredirector.cc.o -c /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/python-console/modified/pyredirector.cc cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/himbaechel/uarch/gowin/gui && /gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/bin/c++ -DARCHNAME=himbaechel -DARCH_HIMBAECHEL -DNEXTPNR_NAMESPACE=nextpnr_himbaechel -DNO_RUST -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/himbaechel/uarch/gowin/gui -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/himbaechel/uarch/gowin/gui/nextpnr-himbaechel-gowin-gui_autogen/include -I/gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/include/python3.11 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/himbaechel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/frontend -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/json -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/QtPropertyBrowser/src -I/gnu/store/lkr00hf38xnc265pziwm68bpii3xdg8q-imgui-1.86/include/imgui -I/gnu/store/ny8visn9x0y1r3bfylrirfbjprg3nh15-qtimgui-0.0-0.48d64a7/include/qtimgui -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/../3rdparty/python-console -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/../3rdparty/python-console/modified -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/himbaechel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/common -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtWidgets -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtGui -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtCore -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/lib/qt5/mkspecs/linux-g++ -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtOpenGL -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -fdiagnostics-color=always -fPIC -MD -MT himbaechel/uarch/gowin/gui/CMakeFiles/nextpnr-himbaechel-gowin-gui.dir/__/3rdparty/python-console/ParseHelper.cpp.o -MF CMakeFiles/nextpnr-himbaechel-gowin-gui.dir/__/3rdparty/python-console/ParseHelper.cpp.o.d -o CMakeFiles/nextpnr-himbaechel-gowin-gui.dir/__/3rdparty/python-console/ParseHelper.cpp.o -c /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/python-console/ParseHelper.cpp cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/himbaechel/uarch/gowin/gui && /gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/bin/c++ -DARCHNAME=himbaechel -DARCH_HIMBAECHEL -DNEXTPNR_NAMESPACE=nextpnr_himbaechel -DNO_RUST -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/himbaechel/uarch/gowin/gui -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/himbaechel/uarch/gowin/gui/nextpnr-himbaechel-gowin-gui_autogen/include -I/gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/include/python3.11 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/himbaechel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/frontend -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/json -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/QtPropertyBrowser/src -I/gnu/store/lkr00hf38xnc265pziwm68bpii3xdg8q-imgui-1.86/include/imgui -I/gnu/store/ny8visn9x0y1r3bfylrirfbjprg3nh15-qtimgui-0.0-0.48d64a7/include/qtimgui -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/../3rdparty/python-console -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/../3rdparty/python-console/modified -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/himbaechel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/common -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtWidgets -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtGui -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtCore -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/lib/qt5/mkspecs/linux-g++ -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtOpenGL -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -fdiagnostics-color=always -fPIC -MD -MT himbaechel/uarch/gowin/gui/CMakeFiles/nextpnr-himbaechel-gowin-gui.dir/__/3rdparty/python-console/ParseHelper.BlockParseState.cpp.o -MF CMakeFiles/nextpnr-himbaechel-gowin-gui.dir/__/3rdparty/python-console/ParseHelper.BlockParseState.cpp.o.d -o CMakeFiles/nextpnr-himbaechel-gowin-gui.dir/__/3rdparty/python-console/ParseHelper.BlockParseState.cpp.o -c /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/python-console/ParseHelper.BlockParseState.cpp cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/himbaechel/uarch/gowin/gui && /gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/bin/c++ -DARCHNAME=himbaechel -DARCH_HIMBAECHEL -DNEXTPNR_NAMESPACE=nextpnr_himbaechel -DNO_RUST -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/himbaechel/uarch/gowin/gui -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/himbaechel/uarch/gowin/gui/nextpnr-himbaechel-gowin-gui_autogen/include -I/gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/include/python3.11 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/himbaechel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/frontend -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/json -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/QtPropertyBrowser/src -I/gnu/store/lkr00hf38xnc265pziwm68bpii3xdg8q-imgui-1.86/include/imgui -I/gnu/store/ny8visn9x0y1r3bfylrirfbjprg3nh15-qtimgui-0.0-0.48d64a7/include/qtimgui -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/../3rdparty/python-console -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/../3rdparty/python-console/modified -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/himbaechel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/common -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtWidgets -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtGui -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtCore -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/lib/qt5/mkspecs/linux-g++ -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtOpenGL -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -fdiagnostics-color=always -fPIC -MD -MT himbaechel/uarch/gowin/gui/CMakeFiles/nextpnr-himbaechel-gowin-gui.dir/__/3rdparty/python-console/ParseHelper.BracketParseState.cpp.o -MF CMakeFiles/nextpnr-himbaechel-gowin-gui.dir/__/3rdparty/python-console/ParseHelper.BracketParseState.cpp.o.d -o CMakeFiles/nextpnr-himbaechel-gowin-gui.dir/__/3rdparty/python-console/ParseHelper.BracketParseState.cpp.o -c /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/python-console/ParseHelper.BracketParseState.cpp cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/himbaechel/uarch/gowin/gui && /gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/bin/c++ -DARCHNAME=himbaechel -DARCH_HIMBAECHEL -DNEXTPNR_NAMESPACE=nextpnr_himbaechel -DNO_RUST -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/himbaechel/uarch/gowin/gui -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/himbaechel/uarch/gowin/gui/nextpnr-himbaechel-gowin-gui_autogen/include -I/gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/include/python3.11 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/himbaechel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/frontend -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/json -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/QtPropertyBrowser/src -I/gnu/store/lkr00hf38xnc265pziwm68bpii3xdg8q-imgui-1.86/include/imgui -I/gnu/store/ny8visn9x0y1r3bfylrirfbjprg3nh15-qtimgui-0.0-0.48d64a7/include/qtimgui -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/../3rdparty/python-console -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/../3rdparty/python-console/modified -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/himbaechel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/common -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtWidgets -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtGui -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtCore -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/lib/qt5/mkspecs/linux-g++ -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtOpenGL -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -fdiagnostics-color=always -fPIC -MD -MT himbaechel/uarch/gowin/gui/CMakeFiles/nextpnr-himbaechel-gowin-gui.dir/__/3rdparty/python-console/ParseHelper.ContinuationParseState.cpp.o -MF CMakeFiles/nextpnr-himbaechel-gowin-gui.dir/__/3rdparty/python-console/ParseHelper.ContinuationParseState.cpp.o.d -o CMakeFiles/nextpnr-himbaechel-gowin-gui.dir/__/3rdparty/python-console/ParseHelper.ContinuationParseState.cpp.o -c /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/python-console/ParseHelper.ContinuationParseState.cpp cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/himbaechel/uarch/gowin/gui && /gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/bin/c++ -DARCHNAME=himbaechel -DARCH_HIMBAECHEL -DNEXTPNR_NAMESPACE=nextpnr_himbaechel -DNO_RUST -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/himbaechel/uarch/gowin/gui -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/himbaechel/uarch/gowin/gui/nextpnr-himbaechel-gowin-gui_autogen/include -I/gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/include/python3.11 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/himbaechel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/frontend -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/json -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/QtPropertyBrowser/src -I/gnu/store/lkr00hf38xnc265pziwm68bpii3xdg8q-imgui-1.86/include/imgui -I/gnu/store/ny8visn9x0y1r3bfylrirfbjprg3nh15-qtimgui-0.0-0.48d64a7/include/qtimgui -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/../3rdparty/python-console -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/../3rdparty/python-console/modified -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/himbaechel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/common -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtWidgets -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtGui -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtCore -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/lib/qt5/mkspecs/linux-g++ -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtOpenGL -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -fdiagnostics-color=always -fPIC -MD -MT himbaechel/uarch/gowin/gui/CMakeFiles/nextpnr-himbaechel-gowin-gui.dir/__/3rdparty/python-console/ParseMessage.cpp.o -MF CMakeFiles/nextpnr-himbaechel-gowin-gui.dir/__/3rdparty/python-console/ParseMessage.cpp.o.d -o CMakeFiles/nextpnr-himbaechel-gowin-gui.dir/__/3rdparty/python-console/ParseMessage.cpp.o -c /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/python-console/ParseMessage.cpp cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/himbaechel/uarch/gowin/gui && /gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/bin/c++ -DARCHNAME=himbaechel -DARCH_HIMBAECHEL -DNEXTPNR_NAMESPACE=nextpnr_himbaechel -DNO_RUST -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/himbaechel/uarch/gowin/gui -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/himbaechel/uarch/gowin/gui/nextpnr-himbaechel-gowin-gui_autogen/include -I/gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/include/python3.11 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/himbaechel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/frontend -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/json -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/QtPropertyBrowser/src -I/gnu/store/lkr00hf38xnc265pziwm68bpii3xdg8q-imgui-1.86/include/imgui -I/gnu/store/ny8visn9x0y1r3bfylrirfbjprg3nh15-qtimgui-0.0-0.48d64a7/include/qtimgui -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/../3rdparty/python-console -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/../3rdparty/python-console/modified -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/himbaechel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/common -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtWidgets -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtGui -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtCore -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/lib/qt5/mkspecs/linux-g++ -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtOpenGL -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -fdiagnostics-color=always -fPIC -MD -MT himbaechel/uarch/gowin/gui/CMakeFiles/nextpnr-himbaechel-gowin-gui.dir/__/3rdparty/python-console/modified/pyredirector.cc.o -MF CMakeFiles/nextpnr-himbaechel-gowin-gui.dir/__/3rdparty/python-console/modified/pyredirector.cc.o.d -o CMakeFiles/nextpnr-himbaechel-gowin-gui.dir/__/3rdparty/python-console/modified/pyredirector.cc.o -c /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/python-console/modified/pyredirector.cc cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/himbaechel/uarch/gowin/gui && /gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/bin/c++ -DARCHNAME=himbaechel -DARCH_HIMBAECHEL -DNEXTPNR_NAMESPACE=nextpnr_himbaechel -DNO_RUST -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/himbaechel/uarch/gowin/gui -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/himbaechel/uarch/gowin/gui/nextpnr-himbaechel-gowin-gui_autogen/include -I/gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/include/python3.11 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/himbaechel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/frontend -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/json -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/QtPropertyBrowser/src -I/gnu/store/lkr00hf38xnc265pziwm68bpii3xdg8q-imgui-1.86/include/imgui -I/gnu/store/ny8visn9x0y1r3bfylrirfbjprg3nh15-qtimgui-0.0-0.48d64a7/include/qtimgui -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/../3rdparty/python-console -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/../3rdparty/python-console/modified -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/himbaechel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/common -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtWidgets -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtGui -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtCore -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/lib/qt5/mkspecs/linux-g++ -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtOpenGL -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -fdiagnostics-color=always -fPIC -MD -MT himbaechel/uarch/gowin/gui/CMakeFiles/nextpnr-himbaechel-gowin-gui.dir/__/3rdparty/python-console/modified/pyinterpreter.cc.o -MF CMakeFiles/nextpnr-himbaechel-gowin-gui.dir/__/3rdparty/python-console/modified/pyinterpreter.cc.o.d -o CMakeFiles/nextpnr-himbaechel-gowin-gui.dir/__/3rdparty/python-console/modified/pyinterpreter.cc.o -c /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/python-console/modified/pyinterpreter.cc [ 21%] Building CXX object himbaechel/uarch/ng-ultra/gui/CMakeFiles/nextpnr-himbaechel-ng-ultra-gui.dir/lineshader.cc.o [ 21%] Building CXX object himbaechel/uarch/ng-ultra/gui/CMakeFiles/nextpnr-himbaechel-ng-ultra-gui.dir/pyconsole.cc.o [ 21%] Building CXX object himbaechel/uarch/ng-ultra/gui/CMakeFiles/nextpnr-himbaechel-ng-ultra-gui.dir/fpgaviewwidget.cc.o [ 21%] Building CXX object himbaechel/uarch/ng-ultra/gui/CMakeFiles/nextpnr-himbaechel-ng-ultra-gui.dir/line_editor.cc.o [ 22%] Building CXX object himbaechel/uarch/ng-ultra/gui/CMakeFiles/nextpnr-himbaechel-ng-ultra-gui.dir/basewindow.cc.o cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/himbaechel/uarch/ng-ultra/gui && /gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/bin/c++ -DARCHNAME=himbaechel -DARCH_HIMBAECHEL -DNEXTPNR_NAMESPACE=nextpnr_himbaechel -DNO_RUST -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/himbaechel/uarch/ng-ultra/gui -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/himbaechel/uarch/ng-ultra/gui/nextpnr-himbaechel-ng-ultra-gui_autogen/include -I/gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/include/python3.11 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/himbaechel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/frontend -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/json -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/QtPropertyBrowser/src -I/gnu/store/lkr00hf38xnc265pziwm68bpii3xdg8q-imgui-1.86/include/imgui -I/gnu/store/ny8visn9x0y1r3bfylrirfbjprg3nh15-qtimgui-0.0-0.48d64a7/include/qtimgui -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/../3rdparty/python-console -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/../3rdparty/python-console/modified -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/himbaechel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/common -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtWidgets -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtGui -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtCore -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/lib/qt5/mkspecs/linux-g++ -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtOpenGL -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -fdiagnostics-color=always -fPIC -MD -MT himbaechel/uarch/ng-ultra/gui/CMakeFiles/nextpnr-himbaechel-ng-ultra-gui.dir/pyconsole.cc.o -MF CMakeFiles/nextpnr-himbaechel-ng-ultra-gui.dir/pyconsole.cc.o.d -o CMakeFiles/nextpnr-himbaechel-ng-ultra-gui.dir/pyconsole.cc.o -c /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/pyconsole.cc [ 22%] Building CXX object himbaechel/uarch/ng-ultra/gui/CMakeFiles/nextpnr-himbaechel-ng-ultra-gui.dir/designwidget.cc.o cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/himbaechel/uarch/ng-ultra/gui && /gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/bin/c++ -DARCHNAME=himbaechel -DARCH_HIMBAECHEL -DNEXTPNR_NAMESPACE=nextpnr_himbaechel -DNO_RUST -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/himbaechel/uarch/ng-ultra/gui -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/himbaechel/uarch/ng-ultra/gui/nextpnr-himbaechel-ng-ultra-gui_autogen/include -I/gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/include/python3.11 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/himbaechel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/frontend -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/json -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/QtPropertyBrowser/src -I/gnu/store/lkr00hf38xnc265pziwm68bpii3xdg8q-imgui-1.86/include/imgui -I/gnu/store/ny8visn9x0y1r3bfylrirfbjprg3nh15-qtimgui-0.0-0.48d64a7/include/qtimgui -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/../3rdparty/python-console -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/../3rdparty/python-console/modified -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/himbaechel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/common -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtWidgets -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtGui -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtCore -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/lib/qt5/mkspecs/linux-g++ -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtOpenGL -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -fdiagnostics-color=always -fPIC -MD -MT himbaechel/uarch/ng-ultra/gui/CMakeFiles/nextpnr-himbaechel-ng-ultra-gui.dir/lineshader.cc.o -MF CMakeFiles/nextpnr-himbaechel-ng-ultra-gui.dir/lineshader.cc.o.d -o CMakeFiles/nextpnr-himbaechel-ng-ultra-gui.dir/lineshader.cc.o -c /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/lineshader.cc cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/himbaechel/uarch/ng-ultra/gui && /gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/bin/c++ -DARCHNAME=himbaechel -DARCH_HIMBAECHEL -DNEXTPNR_NAMESPACE=nextpnr_himbaechel -DNO_RUST -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/himbaechel/uarch/ng-ultra/gui -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/himbaechel/uarch/ng-ultra/gui/nextpnr-himbaechel-ng-ultra-gui_autogen/include -I/gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/include/python3.11 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/himbaechel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/frontend -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/json -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/QtPropertyBrowser/src -I/gnu/store/lkr00hf38xnc265pziwm68bpii3xdg8q-imgui-1.86/include/imgui -I/gnu/store/ny8visn9x0y1r3bfylrirfbjprg3nh15-qtimgui-0.0-0.48d64a7/include/qtimgui -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/../3rdparty/python-console -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/../3rdparty/python-console/modified -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/himbaechel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/common -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtWidgets -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtGui -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtCore -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/lib/qt5/mkspecs/linux-g++ -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtOpenGL -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -fdiagnostics-color=always -fPIC -MD -MT himbaechel/uarch/ng-ultra/gui/CMakeFiles/nextpnr-himbaechel-ng-ultra-gui.dir/line_editor.cc.o -MF CMakeFiles/nextpnr-himbaechel-ng-ultra-gui.dir/line_editor.cc.o.d -o CMakeFiles/nextpnr-himbaechel-ng-ultra-gui.dir/line_editor.cc.o -c /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/line_editor.cc cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/himbaechel/uarch/ng-ultra/gui && /gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/bin/c++ -DARCHNAME=himbaechel -DARCH_HIMBAECHEL -DNEXTPNR_NAMESPACE=nextpnr_himbaechel -DNO_RUST -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/himbaechel/uarch/ng-ultra/gui -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/himbaechel/uarch/ng-ultra/gui/nextpnr-himbaechel-ng-ultra-gui_autogen/include -I/gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/include/python3.11 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/himbaechel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/frontend -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/json -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/QtPropertyBrowser/src -I/gnu/store/lkr00hf38xnc265pziwm68bpii3xdg8q-imgui-1.86/include/imgui -I/gnu/store/ny8visn9x0y1r3bfylrirfbjprg3nh15-qtimgui-0.0-0.48d64a7/include/qtimgui -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/../3rdparty/python-console -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/../3rdparty/python-console/modified -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/himbaechel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/common -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtWidgets -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtGui -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtCore -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/lib/qt5/mkspecs/linux-g++ -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtOpenGL -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -fdiagnostics-color=always -fPIC -MD -MT himbaechel/uarch/ng-ultra/gui/CMakeFiles/nextpnr-himbaechel-ng-ultra-gui.dir/fpgaviewwidget.cc.o -MF CMakeFiles/nextpnr-himbaechel-ng-ultra-gui.dir/fpgaviewwidget.cc.o.d -o CMakeFiles/nextpnr-himbaechel-ng-ultra-gui.dir/fpgaviewwidget.cc.o -c /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/fpgaviewwidget.cc cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/himbaechel/uarch/ng-ultra/gui && /gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/bin/c++ -DARCHNAME=himbaechel -DARCH_HIMBAECHEL -DNEXTPNR_NAMESPACE=nextpnr_himbaechel -DNO_RUST -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/himbaechel/uarch/ng-ultra/gui -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/himbaechel/uarch/ng-ultra/gui/nextpnr-himbaechel-ng-ultra-gui_autogen/include -I/gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/include/python3.11 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/himbaechel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/frontend -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/json -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/QtPropertyBrowser/src -I/gnu/store/lkr00hf38xnc265pziwm68bpii3xdg8q-imgui-1.86/include/imgui -I/gnu/store/ny8visn9x0y1r3bfylrirfbjprg3nh15-qtimgui-0.0-0.48d64a7/include/qtimgui -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/../3rdparty/python-console -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/../3rdparty/python-console/modified -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/himbaechel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/common -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtWidgets -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtGui -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtCore -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/lib/qt5/mkspecs/linux-g++ -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtOpenGL -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -fdiagnostics-color=always -fPIC -MD -MT himbaechel/uarch/ng-ultra/gui/CMakeFiles/nextpnr-himbaechel-ng-ultra-gui.dir/basewindow.cc.o -MF CMakeFiles/nextpnr-himbaechel-ng-ultra-gui.dir/basewindow.cc.o.d -o CMakeFiles/nextpnr-himbaechel-ng-ultra-gui.dir/basewindow.cc.o -c /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/basewindow.cc cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/himbaechel/uarch/ng-ultra/gui && /gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/bin/c++ -DARCHNAME=himbaechel -DARCH_HIMBAECHEL -DNEXTPNR_NAMESPACE=nextpnr_himbaechel -DNO_RUST -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/himbaechel/uarch/ng-ultra/gui -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/himbaechel/uarch/ng-ultra/gui/nextpnr-himbaechel-ng-ultra-gui_autogen/include -I/gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/include/python3.11 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/himbaechel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/frontend -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/json -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/QtPropertyBrowser/src -I/gnu/store/lkr00hf38xnc265pziwm68bpii3xdg8q-imgui-1.86/include/imgui -I/gnu/store/ny8visn9x0y1r3bfylrirfbjprg3nh15-qtimgui-0.0-0.48d64a7/include/qtimgui -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/../3rdparty/python-console -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/../3rdparty/python-console/modified -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/himbaechel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/common -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtWidgets -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtGui -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtCore -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/lib/qt5/mkspecs/linux-g++ -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtOpenGL -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -fdiagnostics-color=always -fPIC -MD -MT himbaechel/uarch/ng-ultra/gui/CMakeFiles/nextpnr-himbaechel-ng-ultra-gui.dir/designwidget.cc.o -MF CMakeFiles/nextpnr-himbaechel-ng-ultra-gui.dir/designwidget.cc.o.d -o CMakeFiles/nextpnr-himbaechel-ng-ultra-gui.dir/designwidget.cc.o -c /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/designwidget.cc cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/himbaechel/uarch/gowin && /gnu/store/d5c8i3b549r412lkhddggyfx9mqdphs7-cmake-minimal-3.31.10/bin/cmake -E make_directory /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/share/himbaechel/gowin cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/himbaechel/uarch/gowin && /gnu/store/d5c8i3b549r412lkhddggyfx9mqdphs7-cmake-minimal-3.31.10/bin/cmake -E copy /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/himbaechel/uarch/gowin/chipdb-GW1N-9C.bin /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/share/himbaechel/gowin/chipdb-GW1N-9C.bin [ 22%] Building CXX object himbaechel/uarch/ng-ultra/gui/CMakeFiles/nextpnr-himbaechel-ng-ultra-gui.dir/__/3rdparty/python-console/ColumnFormatter.cpp.o [ 22%] Building CXX object himbaechel/uarch/ng-ultra/gui/CMakeFiles/nextpnr-himbaechel-ng-ultra-gui.dir/qrc_nextpnr.cpp.o cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/himbaechel/uarch/ng-ultra/gui && /gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/bin/c++ -DARCHNAME=himbaechel -DARCH_HIMBAECHEL -DNEXTPNR_NAMESPACE=nextpnr_himbaechel -DNO_RUST -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/himbaechel/uarch/ng-ultra/gui -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/himbaechel/uarch/ng-ultra/gui/nextpnr-himbaechel-ng-ultra-gui_autogen/include -I/gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/include/python3.11 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/himbaechel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/frontend -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/json -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/QtPropertyBrowser/src -I/gnu/store/lkr00hf38xnc265pziwm68bpii3xdg8q-imgui-1.86/include/imgui -I/gnu/store/ny8visn9x0y1r3bfylrirfbjprg3nh15-qtimgui-0.0-0.48d64a7/include/qtimgui -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/../3rdparty/python-console -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/../3rdparty/python-console/modified -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/himbaechel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/common -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtWidgets -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtGui -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtCore -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/lib/qt5/mkspecs/linux-g++ -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtOpenGL -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -fdiagnostics-color=always -fPIC -MD -MT himbaechel/uarch/ng-ultra/gui/CMakeFiles/nextpnr-himbaechel-ng-ultra-gui.dir/__/3rdparty/python-console/ColumnFormatter.cpp.o -MF CMakeFiles/nextpnr-himbaechel-ng-ultra-gui.dir/__/3rdparty/python-console/ColumnFormatter.cpp.o.d -o CMakeFiles/nextpnr-himbaechel-ng-ultra-gui.dir/__/3rdparty/python-console/ColumnFormatter.cpp.o -c /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/python-console/ColumnFormatter.cpp cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/himbaechel/uarch/ng-ultra/gui && /gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/bin/c++ -DARCHNAME=himbaechel -DARCH_HIMBAECHEL -DNEXTPNR_NAMESPACE=nextpnr_himbaechel -DNO_RUST -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/himbaechel/uarch/ng-ultra/gui -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/himbaechel/uarch/ng-ultra/gui/nextpnr-himbaechel-ng-ultra-gui_autogen/include -I/gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/include/python3.11 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/himbaechel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/frontend -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/json -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/QtPropertyBrowser/src -I/gnu/store/lkr00hf38xnc265pziwm68bpii3xdg8q-imgui-1.86/include/imgui -I/gnu/store/ny8visn9x0y1r3bfylrirfbjprg3nh15-qtimgui-0.0-0.48d64a7/include/qtimgui -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/../3rdparty/python-console -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/../3rdparty/python-console/modified -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/himbaechel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/common -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtWidgets -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtGui -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtCore -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/lib/qt5/mkspecs/linux-g++ -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtOpenGL -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -fdiagnostics-color=always -fPIC -MD -MT himbaechel/uarch/ng-ultra/gui/CMakeFiles/nextpnr-himbaechel-ng-ultra-gui.dir/qrc_nextpnr.cpp.o -MF CMakeFiles/nextpnr-himbaechel-ng-ultra-gui.dir/qrc_nextpnr.cpp.o.d -o CMakeFiles/nextpnr-himbaechel-ng-ultra-gui.dir/qrc_nextpnr.cpp.o -c /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/himbaechel/uarch/ng-ultra/gui/qrc_nextpnr.cpp [ 22%] Building CXX object himbaechel/uarch/ng-ultra/gui/CMakeFiles/nextpnr-himbaechel-ng-ultra-gui.dir/__/3rdparty/python-console/ParseHelper.BlockParseState.cpp.o cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/himbaechel/uarch/ng-ultra/gui && /gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/bin/c++ -DARCHNAME=himbaechel -DARCH_HIMBAECHEL -DNEXTPNR_NAMESPACE=nextpnr_himbaechel -DNO_RUST -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/himbaechel/uarch/ng-ultra/gui -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/himbaechel/uarch/ng-ultra/gui/nextpnr-himbaechel-ng-ultra-gui_autogen/include -I/gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/include/python3.11 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/himbaechel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/frontend -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/json -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/QtPropertyBrowser/src -I/gnu/store/lkr00hf38xnc265pziwm68bpii3xdg8q-imgui-1.86/include/imgui -I/gnu/store/ny8visn9x0y1r3bfylrirfbjprg3nh15-qtimgui-0.0-0.48d64a7/include/qtimgui -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/../3rdparty/python-console -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/../3rdparty/python-console/modified -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/himbaechel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/common -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtWidgets -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtGui -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtCore -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/lib/qt5/mkspecs/linux-g++ -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtOpenGL -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -fdiagnostics-color=always -fPIC -MD -MT himbaechel/uarch/ng-ultra/gui/CMakeFiles/nextpnr-himbaechel-ng-ultra-gui.dir/__/3rdparty/python-console/ParseHelper.BlockParseState.cpp.o -MF CMakeFiles/nextpnr-himbaechel-ng-ultra-gui.dir/__/3rdparty/python-console/ParseHelper.BlockParseState.cpp.o.d -o CMakeFiles/nextpnr-himbaechel-ng-ultra-gui.dir/__/3rdparty/python-console/ParseHelper.BlockParseState.cpp.o -c /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/python-console/ParseHelper.BlockParseState.cpp cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/himbaechel/uarch/gowin && /gnu/store/d5c8i3b549r412lkhddggyfx9mqdphs7-cmake-minimal-3.31.10/bin/cmake -E make_directory /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/share/himbaechel/gowin cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/himbaechel/uarch/gowin && /gnu/store/d5c8i3b549r412lkhddggyfx9mqdphs7-cmake-minimal-3.31.10/bin/cmake -E copy /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/himbaechel/uarch/gowin/chipdb-GW1N-9.bin /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/share/himbaechel/gowin/chipdb-GW1N-9.bin [ 23%] Building CXX object himbaechel/uarch/ng-ultra/gui/CMakeFiles/nextpnr-himbaechel-ng-ultra-gui.dir/__/3rdparty/python-console/ParseMessage.cpp.o [ 23%] Building CXX object himbaechel/uarch/ng-ultra/gui/CMakeFiles/nextpnr-himbaechel-ng-ultra-gui.dir/__/3rdparty/python-console/ParseHelper.BracketParseState.cpp.o [ 23%] Building CXX object himbaechel/uarch/ng-ultra/gui/CMakeFiles/nextpnr-himbaechel-ng-ultra-gui.dir/__/3rdparty/python-console/ParseHelper.ContinuationParseState.cpp.o cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/himbaechel/uarch/ng-ultra/gui && /gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/bin/c++ -DARCHNAME=himbaechel -DARCH_HIMBAECHEL -DNEXTPNR_NAMESPACE=nextpnr_himbaechel -DNO_RUST -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/himbaechel/uarch/ng-ultra/gui -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/himbaechel/uarch/ng-ultra/gui/nextpnr-himbaechel-ng-ultra-gui_autogen/include -I/gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/include/python3.11 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/himbaechel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/frontend -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/json -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/QtPropertyBrowser/src -I/gnu/store/lkr00hf38xnc265pziwm68bpii3xdg8q-imgui-1.86/include/imgui -I/gnu/store/ny8visn9x0y1r3bfylrirfbjprg3nh15-qtimgui-0.0-0.48d64a7/include/qtimgui -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/../3rdparty/python-console -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/../3rdparty/python-console/modified -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/himbaechel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/common -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtWidgets -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtGui -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtCore -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/lib/qt5/mkspecs/linux-g++ -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtOpenGL -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -fdiagnostics-color=always -fPIC -MD -MT himbaechel/uarch/ng-ultra/gui/CMakeFiles/nextpnr-himbaechel-ng-ultra-gui.dir/__/3rdparty/python-console/ParseHelper.ContinuationParseState.cpp.o -MF CMakeFiles/nextpnr-himbaechel-ng-ultra-gui.dir/__/3rdparty/python-console/ParseHelper.ContinuationParseState.cpp.o.d -o CMakeFiles/nextpnr-himbaechel-ng-ultra-gui.dir/__/3rdparty/python-console/ParseHelper.ContinuationParseState.cpp.o -c /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/python-console/ParseHelper.ContinuationParseState.cpp cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/himbaechel/uarch/ng-ultra/gui && /gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/bin/c++ -DARCHNAME=himbaechel -DARCH_HIMBAECHEL -DNEXTPNR_NAMESPACE=nextpnr_himbaechel -DNO_RUST -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/himbaechel/uarch/ng-ultra/gui -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/himbaechel/uarch/ng-ultra/gui/nextpnr-himbaechel-ng-ultra-gui_autogen/include -I/gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/include/python3.11 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/himbaechel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/frontend -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/json -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/QtPropertyBrowser/src -I/gnu/store/lkr00hf38xnc265pziwm68bpii3xdg8q-imgui-1.86/include/imgui -I/gnu/store/ny8visn9x0y1r3bfylrirfbjprg3nh15-qtimgui-0.0-0.48d64a7/include/qtimgui -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/../3rdparty/python-console -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/../3rdparty/python-console/modified -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/himbaechel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/common -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtWidgets -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtGui -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtCore -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/lib/qt5/mkspecs/linux-g++ -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtOpenGL -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -fdiagnostics-color=always -fPIC -MD -MT himbaechel/uarch/ng-ultra/gui/CMakeFiles/nextpnr-himbaechel-ng-ultra-gui.dir/__/3rdparty/python-console/ParseMessage.cpp.o -MF CMakeFiles/nextpnr-himbaechel-ng-ultra-gui.dir/__/3rdparty/python-console/ParseMessage.cpp.o.d -o CMakeFiles/nextpnr-himbaechel-ng-ultra-gui.dir/__/3rdparty/python-console/ParseMessage.cpp.o -c /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/python-console/ParseMessage.cpp cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/himbaechel/uarch/ng-ultra/gui && /gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/bin/c++ -DARCHNAME=himbaechel -DARCH_HIMBAECHEL -DNEXTPNR_NAMESPACE=nextpnr_himbaechel -DNO_RUST -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/himbaechel/uarch/ng-ultra/gui -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/himbaechel/uarch/ng-ultra/gui/nextpnr-himbaechel-ng-ultra-gui_autogen/include -I/gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/include/python3.11 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/himbaechel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/frontend -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/json -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/QtPropertyBrowser/src -I/gnu/store/lkr00hf38xnc265pziwm68bpii3xdg8q-imgui-1.86/include/imgui -I/gnu/store/ny8visn9x0y1r3bfylrirfbjprg3nh15-qtimgui-0.0-0.48d64a7/include/qtimgui -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/../3rdparty/python-console -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/../3rdparty/python-console/modified -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/himbaechel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/common -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtWidgets -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtGui -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtCore -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/lib/qt5/mkspecs/linux-g++ -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtOpenGL -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -fdiagnostics-color=always -fPIC -MD -MT himbaechel/uarch/ng-ultra/gui/CMakeFiles/nextpnr-himbaechel-ng-ultra-gui.dir/__/3rdparty/python-console/ParseHelper.BracketParseState.cpp.o -MF CMakeFiles/nextpnr-himbaechel-ng-ultra-gui.dir/__/3rdparty/python-console/ParseHelper.BracketParseState.cpp.o.d -o CMakeFiles/nextpnr-himbaechel-ng-ultra-gui.dir/__/3rdparty/python-console/ParseHelper.BracketParseState.cpp.o -c /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/python-console/ParseHelper.BracketParseState.cpp [ 23%] Building CXX object himbaechel/uarch/gatemate/gui/CMakeFiles/nextpnr-himbaechel-gatemate-gui.dir/__/3rdparty/python-console/modified/pyinterpreter.cc.o cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/himbaechel/uarch/gatemate/gui && /gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/bin/c++ -DARCHNAME=himbaechel -DARCH_HIMBAECHEL -DNEXTPNR_NAMESPACE=nextpnr_himbaechel -DNO_RUST -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/himbaechel/uarch/gatemate/gui -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/himbaechel/uarch/gatemate/gui/nextpnr-himbaechel-gatemate-gui_autogen/include -I/gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/include/python3.11 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/himbaechel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/frontend -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/json -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/QtPropertyBrowser/src -I/gnu/store/lkr00hf38xnc265pziwm68bpii3xdg8q-imgui-1.86/include/imgui -I/gnu/store/ny8visn9x0y1r3bfylrirfbjprg3nh15-qtimgui-0.0-0.48d64a7/include/qtimgui -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/../3rdparty/python-console -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/../3rdparty/python-console/modified -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/himbaechel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/common -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtWidgets -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtGui -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtCore -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/lib/qt5/mkspecs/linux-g++ -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtOpenGL -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -fdiagnostics-color=always -fPIC -MD -MT himbaechel/uarch/gatemate/gui/CMakeFiles/nextpnr-himbaechel-gatemate-gui.dir/__/3rdparty/python-console/modified/pyinterpreter.cc.o -MF CMakeFiles/nextpnr-himbaechel-gatemate-gui.dir/__/3rdparty/python-console/modified/pyinterpreter.cc.o.d -o CMakeFiles/nextpnr-himbaechel-gatemate-gui.dir/__/3rdparty/python-console/modified/pyinterpreter.cc.o -c /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/python-console/modified/pyinterpreter.cc [ 24%] Building CXX object himbaechel/uarch/ng-ultra/gui/CMakeFiles/nextpnr-himbaechel-ng-ultra-gui.dir/worker.cc.o [ 24%] Building CXX object himbaechel/uarch/ng-ultra/gui/CMakeFiles/nextpnr-himbaechel-ng-ultra-gui.dir/__/3rdparty/python-console/modified/pyredirector.cc.o [ 24%] Building CXX object himbaechel/uarch/ng-ultra/gui/CMakeFiles/nextpnr-himbaechel-ng-ultra-gui.dir/qrc_base.cpp.o [ 24%] Building CXX object himbaechel/uarch/ng-ultra/gui/CMakeFiles/nextpnr-himbaechel-ng-ultra-gui.dir/treemodel.cc.o [ 24%] Building CXX object himbaechel/uarch/ng-ultra/gui/CMakeFiles/nextpnr-himbaechel-ng-ultra-gui.dir/__/3rdparty/python-console/ParseHelper.cpp.o [ 24%] Building CXX object himbaechel/uarch/ng-ultra/gui/CMakeFiles/nextpnr-himbaechel-ng-ultra-gui.dir/__/3rdparty/python-console/modified/pyinterpreter.cc.o [ 24%] Building CXX object himbaechel/uarch/ng-ultra/gui/CMakeFiles/nextpnr-himbaechel-ng-ultra-gui.dir/himbaechel/mainwindow.cc.o cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/himbaechel/uarch/ng-ultra/gui && /gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/bin/c++ -DARCHNAME=himbaechel -DARCH_HIMBAECHEL -DNEXTPNR_NAMESPACE=nextpnr_himbaechel -DNO_RUST -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/himbaechel/uarch/ng-ultra/gui -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/himbaechel/uarch/ng-ultra/gui/nextpnr-himbaechel-ng-ultra-gui_autogen/include -I/gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/include/python3.11 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/himbaechel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/frontend -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/json -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/QtPropertyBrowser/src -I/gnu/store/lkr00hf38xnc265pziwm68bpii3xdg8q-imgui-1.86/include/imgui -I/gnu/store/ny8visn9x0y1r3bfylrirfbjprg3nh15-qtimgui-0.0-0.48d64a7/include/qtimgui -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/../3rdparty/python-console -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/../3rdparty/python-console/modified -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/himbaechel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/common -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtWidgets -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtGui -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtCore -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/lib/qt5/mkspecs/linux-g++ -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtOpenGL -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -fdiagnostics-color=always -fPIC -MD -MT himbaechel/uarch/ng-ultra/gui/CMakeFiles/nextpnr-himbaechel-ng-ultra-gui.dir/__/3rdparty/python-console/modified/pyinterpreter.cc.o -MF CMakeFiles/nextpnr-himbaechel-ng-ultra-gui.dir/__/3rdparty/python-console/modified/pyinterpreter.cc.o.d -o CMakeFiles/nextpnr-himbaechel-ng-ultra-gui.dir/__/3rdparty/python-console/modified/pyinterpreter.cc.o -c /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/python-console/modified/pyinterpreter.cc cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/himbaechel/uarch/ng-ultra/gui && /gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/bin/c++ -DARCHNAME=himbaechel -DARCH_HIMBAECHEL -DNEXTPNR_NAMESPACE=nextpnr_himbaechel -DNO_RUST -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/himbaechel/uarch/ng-ultra/gui -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/himbaechel/uarch/ng-ultra/gui/nextpnr-himbaechel-ng-ultra-gui_autogen/include -I/gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/include/python3.11 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/himbaechel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/frontend -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/json -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/QtPropertyBrowser/src -I/gnu/store/lkr00hf38xnc265pziwm68bpii3xdg8q-imgui-1.86/include/imgui -I/gnu/store/ny8visn9x0y1r3bfylrirfbjprg3nh15-qtimgui-0.0-0.48d64a7/include/qtimgui -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/../3rdparty/python-console -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/../3rdparty/python-console/modified -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/himbaechel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/common -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtWidgets -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtGui -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtCore -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/lib/qt5/mkspecs/linux-g++ -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtOpenGL -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -fdiagnostics-color=always -fPIC -MD -MT himbaechel/uarch/ng-ultra/gui/CMakeFiles/nextpnr-himbaechel-ng-ultra-gui.dir/__/3rdparty/python-console/modified/pyredirector.cc.o -MF CMakeFiles/nextpnr-himbaechel-ng-ultra-gui.dir/__/3rdparty/python-console/modified/pyredirector.cc.o.d -o CMakeFiles/nextpnr-himbaechel-ng-ultra-gui.dir/__/3rdparty/python-console/modified/pyredirector.cc.o -c /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/python-console/modified/pyredirector.cc cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/himbaechel/uarch/ng-ultra/gui && /gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/bin/c++ -DARCHNAME=himbaechel -DARCH_HIMBAECHEL -DNEXTPNR_NAMESPACE=nextpnr_himbaechel -DNO_RUST -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/himbaechel/uarch/ng-ultra/gui -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/himbaechel/uarch/ng-ultra/gui/nextpnr-himbaechel-ng-ultra-gui_autogen/include -I/gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/include/python3.11 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/himbaechel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/frontend -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/json -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/QtPropertyBrowser/src -I/gnu/store/lkr00hf38xnc265pziwm68bpii3xdg8q-imgui-1.86/include/imgui -I/gnu/store/ny8visn9x0y1r3bfylrirfbjprg3nh15-qtimgui-0.0-0.48d64a7/include/qtimgui -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/../3rdparty/python-console -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/../3rdparty/python-console/modified -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/himbaechel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/common -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtWidgets -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtGui -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtCore -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/lib/qt5/mkspecs/linux-g++ -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtOpenGL -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -fdiagnostics-color=always -fPIC -MD -MT himbaechel/uarch/ng-ultra/gui/CMakeFiles/nextpnr-himbaechel-ng-ultra-gui.dir/__/3rdparty/python-console/ParseHelper.cpp.o -MF CMakeFiles/nextpnr-himbaechel-ng-ultra-gui.dir/__/3rdparty/python-console/ParseHelper.cpp.o.d -o CMakeFiles/nextpnr-himbaechel-ng-ultra-gui.dir/__/3rdparty/python-console/ParseHelper.cpp.o -c /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/python-console/ParseHelper.cpp cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/himbaechel/uarch/ng-ultra/gui && /gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/bin/c++ -DARCHNAME=himbaechel -DARCH_HIMBAECHEL -DNEXTPNR_NAMESPACE=nextpnr_himbaechel -DNO_RUST -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/himbaechel/uarch/ng-ultra/gui -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/himbaechel/uarch/ng-ultra/gui/nextpnr-himbaechel-ng-ultra-gui_autogen/include -I/gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/include/python3.11 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/himbaechel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/frontend -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/json -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/QtPropertyBrowser/src -I/gnu/store/lkr00hf38xnc265pziwm68bpii3xdg8q-imgui-1.86/include/imgui -I/gnu/store/ny8visn9x0y1r3bfylrirfbjprg3nh15-qtimgui-0.0-0.48d64a7/include/qtimgui -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/../3rdparty/python-console -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/../3rdparty/python-console/modified -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/himbaechel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/common -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtWidgets -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtGui -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtCore -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/lib/qt5/mkspecs/linux-g++ -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtOpenGL -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -fdiagnostics-color=always -fPIC -MD -MT himbaechel/uarch/ng-ultra/gui/CMakeFiles/nextpnr-himbaechel-ng-ultra-gui.dir/qrc_base.cpp.o -MF CMakeFiles/nextpnr-himbaechel-ng-ultra-gui.dir/qrc_base.cpp.o.d -o CMakeFiles/nextpnr-himbaechel-ng-ultra-gui.dir/qrc_base.cpp.o -c /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/himbaechel/uarch/ng-ultra/gui/qrc_base.cpp cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/himbaechel/uarch/ng-ultra/gui && /gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/bin/c++ -DARCHNAME=himbaechel -DARCH_HIMBAECHEL -DNEXTPNR_NAMESPACE=nextpnr_himbaechel -DNO_RUST -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/himbaechel/uarch/ng-ultra/gui -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/himbaechel/uarch/ng-ultra/gui/nextpnr-himbaechel-ng-ultra-gui_autogen/include -I/gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/include/python3.11 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/himbaechel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/frontend -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/json -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/QtPropertyBrowser/src -I/gnu/store/lkr00hf38xnc265pziwm68bpii3xdg8q-imgui-1.86/include/imgui -I/gnu/store/ny8visn9x0y1r3bfylrirfbjprg3nh15-qtimgui-0.0-0.48d64a7/include/qtimgui -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/../3rdparty/python-console -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/../3rdparty/python-console/modified -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/himbaechel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/common -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtWidgets -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtGui -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtCore -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/lib/qt5/mkspecs/linux-g++ -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtOpenGL -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -fdiagnostics-color=always -fPIC -MD -MT himbaechel/uarch/ng-ultra/gui/CMakeFiles/nextpnr-himbaechel-ng-ultra-gui.dir/himbaechel/mainwindow.cc.o -MF CMakeFiles/nextpnr-himbaechel-ng-ultra-gui.dir/himbaechel/mainwindow.cc.o.d -o CMakeFiles/nextpnr-himbaechel-ng-ultra-gui.dir/himbaechel/mainwindow.cc.o -c /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/himbaechel/mainwindow.cc cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/himbaechel/uarch/ng-ultra/gui && /gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/bin/c++ -DARCHNAME=himbaechel -DARCH_HIMBAECHEL -DNEXTPNR_NAMESPACE=nextpnr_himbaechel -DNO_RUST -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/himbaechel/uarch/ng-ultra/gui -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/himbaechel/uarch/ng-ultra/gui/nextpnr-himbaechel-ng-ultra-gui_autogen/include -I/gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/include/python3.11 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/himbaechel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/frontend -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/json -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/QtPropertyBrowser/src -I/gnu/store/lkr00hf38xnc265pziwm68bpii3xdg8q-imgui-1.86/include/imgui -I/gnu/store/ny8visn9x0y1r3bfylrirfbjprg3nh15-qtimgui-0.0-0.48d64a7/include/qtimgui -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/../3rdparty/python-console -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/../3rdparty/python-console/modified -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/himbaechel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/common -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtWidgets -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtGui -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtCore -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/lib/qt5/mkspecs/linux-g++ -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtOpenGL -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -fdiagnostics-color=always -fPIC -MD -MT himbaechel/uarch/ng-ultra/gui/CMakeFiles/nextpnr-himbaechel-ng-ultra-gui.dir/worker.cc.o -MF CMakeFiles/nextpnr-himbaechel-ng-ultra-gui.dir/worker.cc.o.d -o CMakeFiles/nextpnr-himbaechel-ng-ultra-gui.dir/worker.cc.o -c /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/worker.cc cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/himbaechel/uarch/ng-ultra/gui && /gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/bin/c++ -DARCHNAME=himbaechel -DARCH_HIMBAECHEL -DNEXTPNR_NAMESPACE=nextpnr_himbaechel -DNO_RUST -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/himbaechel/uarch/ng-ultra/gui -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/himbaechel/uarch/ng-ultra/gui/nextpnr-himbaechel-ng-ultra-gui_autogen/include -I/gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/include/python3.11 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/himbaechel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/frontend -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/json -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/QtPropertyBrowser/src -I/gnu/store/lkr00hf38xnc265pziwm68bpii3xdg8q-imgui-1.86/include/imgui -I/gnu/store/ny8visn9x0y1r3bfylrirfbjprg3nh15-qtimgui-0.0-0.48d64a7/include/qtimgui -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/../3rdparty/python-console -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/../3rdparty/python-console/modified -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/himbaechel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/common -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtWidgets -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtGui -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtCore -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/lib/qt5/mkspecs/linux-g++ -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtOpenGL -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -fdiagnostics-color=always -fPIC -MD -MT himbaechel/uarch/ng-ultra/gui/CMakeFiles/nextpnr-himbaechel-ng-ultra-gui.dir/treemodel.cc.o -MF CMakeFiles/nextpnr-himbaechel-ng-ultra-gui.dir/treemodel.cc.o.d -o CMakeFiles/nextpnr-himbaechel-ng-ultra-gui.dir/treemodel.cc.o -c /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/treemodel.cc [ 24%] Building CXX object himbaechel/uarch/ng-ultra/gui/CMakeFiles/nextpnr-himbaechel-ng-ultra-gui.dir/application.cc.o [ 24%] Building CXX object himbaechel/uarch/ng-ultra/gui/CMakeFiles/nextpnr-himbaechel-ng-ultra-gui.dir/pythontab.cc.o cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/himbaechel/uarch/ng-ultra/gui && /gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/bin/c++ -DARCHNAME=himbaechel -DARCH_HIMBAECHEL -DNEXTPNR_NAMESPACE=nextpnr_himbaechel -DNO_RUST -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/himbaechel/uarch/ng-ultra/gui -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/himbaechel/uarch/ng-ultra/gui/nextpnr-himbaechel-ng-ultra-gui_autogen/include -I/gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/include/python3.11 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/himbaechel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/frontend -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/json -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/QtPropertyBrowser/src -I/gnu/store/lkr00hf38xnc265pziwm68bpii3xdg8q-imgui-1.86/include/imgui -I/gnu/store/ny8visn9x0y1r3bfylrirfbjprg3nh15-qtimgui-0.0-0.48d64a7/include/qtimgui -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/../3rdparty/python-console -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/../3rdparty/python-console/modified -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/himbaechel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/common -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtWidgets -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtGui -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtCore -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/lib/qt5/mkspecs/linux-g++ -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtOpenGL -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -fdiagnostics-color=always -fPIC -MD -MT himbaechel/uarch/ng-ultra/gui/CMakeFiles/nextpnr-himbaechel-ng-ultra-gui.dir/application.cc.o -MF CMakeFiles/nextpnr-himbaechel-ng-ultra-gui.dir/application.cc.o.d -o CMakeFiles/nextpnr-himbaechel-ng-ultra-gui.dir/application.cc.o -c /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/application.cc cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/himbaechel/uarch/ng-ultra/gui && /gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/bin/c++ -DARCHNAME=himbaechel -DARCH_HIMBAECHEL -DNEXTPNR_NAMESPACE=nextpnr_himbaechel -DNO_RUST -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/himbaechel/uarch/ng-ultra/gui -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/himbaechel/uarch/ng-ultra/gui/nextpnr-himbaechel-ng-ultra-gui_autogen/include -I/gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/include/python3.11 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/himbaechel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/frontend -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/json -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/QtPropertyBrowser/src -I/gnu/store/lkr00hf38xnc265pziwm68bpii3xdg8q-imgui-1.86/include/imgui -I/gnu/store/ny8visn9x0y1r3bfylrirfbjprg3nh15-qtimgui-0.0-0.48d64a7/include/qtimgui -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/../3rdparty/python-console -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/../3rdparty/python-console/modified -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/himbaechel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/common -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtWidgets -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtGui -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtCore -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/lib/qt5/mkspecs/linux-g++ -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtOpenGL -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -fdiagnostics-color=always -fPIC -MD -MT himbaechel/uarch/ng-ultra/gui/CMakeFiles/nextpnr-himbaechel-ng-ultra-gui.dir/pythontab.cc.o -MF CMakeFiles/nextpnr-himbaechel-ng-ultra-gui.dir/pythontab.cc.o.d -o CMakeFiles/nextpnr-himbaechel-ng-ultra-gui.dir/pythontab.cc.o -c /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/pythontab.cc Deduplicating tile shapes... 3080 unique tile routing shapes Deduplicating tile shapes... 3080 unique tile routing shapes cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/himbaechel/uarch/gowin && /gnu/store/d5c8i3b549r412lkhddggyfx9mqdphs7-cmake-minimal-3.31.10/bin/cmake -E rename /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/himbaechel/uarch/gowin/chipdb-GW2A-18.bba.new /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/himbaechel/uarch/gowin/chipdb-GW2A-18.bba cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/himbaechel/uarch/gowin && /gnu/store/d5c8i3b549r412lkhddggyfx9mqdphs7-cmake-minimal-3.31.10/bin/cmake -E copy /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/himbaechel/uarch/gowin/chipdb-GW2A-18.bba /gnu/store/davzxg5m27gz2djfkghkz3pb7ys8gvgi-nextpnr-ice40-0.9-0.ad76625-bba/share/nextpnr/bba-files/himbaechel/uarch/gowin/chipdb-GW2A-18.bba cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/himbaechel/uarch/gowin && /gnu/store/d5c8i3b549r412lkhddggyfx9mqdphs7-cmake-minimal-3.31.10/bin/cmake -E rename /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/himbaechel/uarch/gowin/chipdb-GW2A-18C.bba.new /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/himbaechel/uarch/gowin/chipdb-GW2A-18C.bba cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/himbaechel/uarch/gowin && /gnu/store/d5c8i3b549r412lkhddggyfx9mqdphs7-cmake-minimal-3.31.10/bin/cmake -E copy /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/himbaechel/uarch/gowin/chipdb-GW2A-18C.bba /gnu/store/davzxg5m27gz2djfkghkz3pb7ys8gvgi-nextpnr-ice40-0.9-0.ad76625-bba/share/nextpnr/bba-files/himbaechel/uarch/gowin/chipdb-GW2A-18C.bba Deduplicating tile shapes... 3404 unique tile routing shapes cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/himbaechel/uarch/gowin && /gnu/store/d5c8i3b549r412lkhddggyfx9mqdphs7-cmake-minimal-3.31.10/bin/cmake -E rename /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/himbaechel/uarch/gowin/chipdb-GW5A-25A.bba.new /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/himbaechel/uarch/gowin/chipdb-GW5A-25A.bba cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/himbaechel/uarch/gowin && /gnu/store/d5c8i3b549r412lkhddggyfx9mqdphs7-cmake-minimal-3.31.10/bin/cmake -E copy /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/himbaechel/uarch/gowin/chipdb-GW5A-25A.bba /gnu/store/davzxg5m27gz2djfkghkz3pb7ys8gvgi-nextpnr-ice40-0.9-0.ad76625-bba/share/nextpnr/bba-files/himbaechel/uarch/gowin/chipdb-GW5A-25A.bba [ 25%] Generating chipdb-GW2A-18C.bin [ 25%] Generating chipdb-GW5A-25A.bin cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/himbaechel/uarch/gowin && ../../../bba/bbasm --le /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/himbaechel/uarch/gowin/chipdb-GW2A-18C.bba /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/himbaechel/uarch/gowin/chipdb-GW2A-18C.bin cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/himbaechel/uarch/gowin && ../../../bba/bbasm --le /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/himbaechel/uarch/gowin/chipdb-GW5A-25A.bba /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/himbaechel/uarch/gowin/chipdb-GW5A-25A.bin cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/himbaechel/uarch/gowin && /gnu/store/d5c8i3b549r412lkhddggyfx9mqdphs7-cmake-minimal-3.31.10/bin/cmake -E make_directory /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/share/himbaechel/gowin cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/himbaechel/uarch/gowin && /gnu/store/d5c8i3b549r412lkhddggyfx9mqdphs7-cmake-minimal-3.31.10/bin/cmake -E copy /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/himbaechel/uarch/gowin/chipdb-GW2A-18C.bin /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/share/himbaechel/gowin/chipdb-GW2A-18C.bin [ 25%] Generating chipdb-GW2A-18.bin cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/himbaechel/uarch/gowin && ../../../bba/bbasm --le /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/himbaechel/uarch/gowin/chipdb-GW2A-18.bba /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/himbaechel/uarch/gowin/chipdb-GW2A-18.bin cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/himbaechel/uarch/gowin && /gnu/store/d5c8i3b549r412lkhddggyfx9mqdphs7-cmake-minimal-3.31.10/bin/cmake -E make_directory /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/share/himbaechel/gowin cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/himbaechel/uarch/gowin && /gnu/store/d5c8i3b549r412lkhddggyfx9mqdphs7-cmake-minimal-3.31.10/bin/cmake -E copy /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/himbaechel/uarch/gowin/chipdb-GW5A-25A.bin /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/share/himbaechel/gowin/chipdb-GW5A-25A.bin cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/himbaechel/uarch/gowin && /gnu/store/d5c8i3b549r412lkhddggyfx9mqdphs7-cmake-minimal-3.31.10/bin/cmake -E make_directory /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/share/himbaechel/gowin cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/himbaechel/uarch/gowin && /gnu/store/d5c8i3b549r412lkhddggyfx9mqdphs7-cmake-minimal-3.31.10/bin/cmake -E copy /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/himbaechel/uarch/gowin/chipdb-GW2A-18.bin /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/share/himbaechel/gowin/chipdb-GW2A-18.bin make[2]: Leaving directory '/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build' [ 25%] Built target nextpnr-himbaechel-gowin-chipdb Load global connections... Generate nodes for CGB[10x10]... Generate nodes for CGB[10x18]... Generate nodes for CGB[10x22]... Generate nodes for CGB[10x30]... Generate nodes for CGB[10x34]... Generate nodes for CGB[10x42]... Generate nodes for CGB[10x46]... Generate nodes for CGB[10x6]... Generate nodes for CGB[11x10]... Generate nodes for CGB[11x18]... Generate nodes for CGB[11x22]... Generate nodes for CGB[11x30]... Generate nodes for CGB[11x34]... Generate nodes for CGB[11x42]... Generate nodes for CGB[11x46]... Generate nodes for CGB[11x6]... Generate nodes for CGB[12x10]... Generate nodes for CGB[12x18]... Generate nodes for CGB[12x22]... Generate nodes for CGB[12x30]... Generate nodes for CGB[12x34]... Generate nodes for CGB[12x42]... Generate nodes for CGB[12x46]... Generate nodes for CGB[12x6]... Generate nodes for CGB[13x10]... Generate nodes for CGB[13x18]... Generate nodes for CGB[13x22]... Generate nodes for CGB[13x30]... Generate nodes for CGB[13x34]... Generate nodes for CGB[13x42]... Generate nodes for CGB[13x46]... Generate nodes for CGB[13x6]... Generate nodes for CGB[14x10]... Generate nodes for CGB[14x18]... Generate nodes for CGB[14x22]... Generate nodes for CGB[14x30]... Generate nodes for CGB[14x34]... Generate nodes for CGB[14x42]... Generate nodes for CGB[14x46]... Generate nodes for CGB[14x6]... Generate nodes for CGB[15x10]... Generate nodes for CGB[15x18]... Generate nodes for CGB[15x22]... Generate nodes for CGB[15x30]... Generate nodes for CGB[15x34]... Generate nodes for CGB[15x42]... Generate nodes for CGB[15x46]... Generate nodes for CGB[15x6]... Generate nodes for CGB[16x10]... Generate nodes for CGB[16x18]... Generate nodes for CGB[16x22]... Generate nodes for CGB[16x30]... Generate nodes for CGB[16x34]... Generate nodes for CGB[16x42]... Generate nodes for CGB[16x46]... Generate nodes for CGB[16x6]... Generate nodes for CGB[17x10]... Generate nodes for CGB[17x18]... Generate nodes for CGB[17x22]... Generate nodes for CGB[17x30]... Generate nodes for CGB[17x34]... Generate nodes for CGB[17x42]... Generate nodes for CGB[17x46]... Generate nodes for CGB[17x6]... Generate nodes for CGB[18x10]... Generate nodes for CGB[18x18]... Generate nodes for CGB[18x22]... Generate nodes for CGB[18x30]... Generate nodes for CGB[18x34]... Generate nodes for CGB[18x42]... Generate nodes for CGB[18x46]... Generate nodes for CGB[18x6]... Generate nodes for CGB[19x10]... Generate nodes for CGB[19x18]... Generate nodes for CGB[19x22]... Generate nodes for CGB[19x30]... Generate nodes for CGB[19x34]... Generate nodes for CGB[19x42]... Generate nodes for CGB[19x46]... Generate nodes for CGB[19x6]... Generate nodes for CGB[1x10]... Generate nodes for CGB[1x18]... Generate nodes for CGB[1x22]... Generate nodes for CGB[1x42]... Generate nodes for CGB[1x46]... Generate nodes for CGB[1x6]... Generate nodes for CGB[20x10]... Generate nodes for CGB[20x18]... Generate nodes for CGB[20x22]... Generate nodes for CGB[20x30]... Generate nodes for CGB[20x34]... Generate nodes for CGB[20x42]... Generate nodes for CGB[20x46]... Generate nodes for CGB[20x6]... Generate nodes for CGB[21x10]... Generate nodes for CGB[21x18]... Generate nodes for CGB[21x22]... Generate nodes for CGB[21x30]... Generate nodes for CGB[21x34]... Generate nodes for CGB[21x42]... Generate nodes for CGB[21x46]... Generate nodes for CGB[21x6]... Generate nodes for CGB[22x10]... Generate nodes for CGB[22x18]... Generate nodes for CGB[22x22]... Generate nodes for CGB[22x30]... Generate nodes for CGB[22x34]... Generate nodes for CGB[22x42]... Generate nodes for CGB[22x46]... Generate nodes for CGB[22x6]... Generate nodes for CGB[23x10]... Generate nodes for CGB[23x18]... Generate nodes for CGB[23x22]... Generate nodes for CGB[23x30]... Generate nodes for CGB[23x34]... Generate nodes for CGB[23x42]... Generate nodes for CGB[23x46]... Generate nodes for CGB[23x6]... Generate nodes for CGB[24x10]... Generate nodes for CGB[24x18]... Generate nodes for CGB[24x22]... Generate nodes for CGB[24x30]... Generate nodes for CGB[24x34]... Generate nodes for CGB[24x42]... Generate nodes for CGB[24x46]... Generate nodes for CGB[24x6]... Generate nodes for CGB[25x10]... Generate nodes for CGB[25x18]... Generate nodes for CGB[25x22]... Generate nodes for CGB[25x30]... Generate nodes for CGB[25x34]... Generate nodes for CGB[25x42]... Generate nodes for CGB[25x46]... Generate nodes for CGB[25x6]... Generate nodes for CGB[26x10]... Generate nodes for CGB[26x18]... Generate nodes for CGB[26x22]... Generate nodes for CGB[26x30]... Generate nodes for CGB[26x34]... Generate nodes for CGB[26x42]... Generate nodes for CGB[26x46]... Generate nodes for CGB[26x6]... Generate nodes for CGB[27x10]... Generate nodes for CGB[27x18]... Generate nodes for CGB[27x22]... Generate nodes for CGB[27x30]... Generate nodes for CGB[27x34]... Generate nodes for CGB[27x42]... Generate nodes for CGB[27x46]... Generate nodes for CGB[27x6]... Generate nodes for CGB[28x10]... Generate nodes for CGB[28x18]... Generate nodes for CGB[28x22]... Generate nodes for CGB[28x30]... Generate nodes for CGB[28x34]... Generate nodes for CGB[28x42]... Generate nodes for CGB[28x46]... Generate nodes for CGB[28x6]... Generate nodes for CGB[29x10]... Generate nodes for CGB[29x18]... Generate nodes for CGB[29x22]... Generate nodes for CGB[29x30]... Generate nodes for CGB[29x34]... Generate nodes for CGB[29x42]... Generate nodes for CGB[29x46]... Generate nodes for CGB[29x6]... Generate nodes for CGB[2x10]... Generate nodes for CGB[2x18]... Generate nodes for CGB[2x22]... Generate nodes for CGB[2x42]... Generate nodes for CGB[2x46]... Generate nodes for CGB[2x6]... Generate nodes for CGB[30x10]... Generate nodes for CGB[30x18]... Generate nodes for CGB[30x22]... Generate nodes for CGB[30x30]... Generate nodes for CGB[30x34]... Generate nodes for CGB[30x42]... Generate nodes for CGB[30x46]... Generate nodes for CGB[30x6]... Generate nodes for CGB[31x10]... Generate nodes for CGB[31x18]... Generate nodes for CGB[31x22]... Generate nodes for CGB[31x30]... Generate nodes for CGB[31x34]... Generate nodes for CGB[31x42]... Generate nodes for CGB[31x46]... Generate nodes for CGB[31x6]... Generate nodes for CGB[32x10]... Generate nodes for CGB[32x18]... Generate nodes for CGB[32x22]... Generate nodes for CGB[32x30]... Generate nodes for CGB[32x34]... Generate nodes for CGB[32x42]... Generate nodes for CGB[32x46]... Generate nodes for CGB[32x6]... Generate nodes for CGB[33x18]... Generate nodes for CGB[33x22]... Generate nodes for CGB[33x30]... Generate nodes for CGB[33x34]... Generate nodes for CGB[33x42]... Generate nodes for CGB[33x46]... Generate nodes for CGB[34x18]... Generate nodes for CGB[34x22]... Generate nodes for CGB[34x30]... Generate nodes for CGB[34x34]... Generate nodes for CGB[34x42]... Generate nodes for CGB[34x46]... Generate nodes for CGB[35x18]... Generate nodes for CGB[35x22]... Generate nodes for CGB[35x30]... Generate nodes for CGB[35x34]... Generate nodes for CGB[35x42]... Generate nodes for CGB[35x46]... Generate nodes for CGB[36x18]... Generate nodes for CGB[36x22]... Generate nodes for CGB[36x30]... Generate nodes for CGB[36x34]... Generate nodes for CGB[36x42]... Generate nodes for CGB[36x46]... Generate nodes for CGB[37x18]... Generate nodes for CGB[37x22]... Generate nodes for CGB[37x30]... Generate nodes for CGB[37x34]... Generate nodes for CGB[37x42]... Generate nodes for CGB[37x46]... Generate nodes for CGB[38x18]... Generate nodes for CGB[38x22]... Generate nodes for CGB[38x30]... Generate nodes for CGB[38x34]... Generate nodes for CGB[38x42]... Generate nodes for CGB[38x46]... Generate nodes for CGB[39x18]... Generate nodes for CGB[39x22]... Generate nodes for CGB[39x30]... Generate nodes for CGB[39x34]... Generate nodes for CGB[39x42]... Generate nodes for CGB[39x46]... Generate nodes for CGB[3x10]... Generate nodes for CGB[3x18]... Generate nodes for CGB[3x22]... Generate nodes for CGB[3x30]... Generate nodes for CGB[3x34]... Generate nodes for CGB[3x42]... Generate nodes for CGB[3x46]... Generate nodes for CGB[3x6]... Generate nodes for CGB[40x18]... Generate nodes for CGB[40x22]... Generate nodes for CGB[40x30]...cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/ice40 && /gnu/store/d5c8i3b549r412lkhddggyfx9mqdphs7-cmake-minimal-3.31.10/bin/cmake -E rename /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/ice40/chipdb-1k.bba.new /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/ice40/chipdb-1k.bba cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/ice40 && /gnu/store/d5c8i3b549r412lkhddggyfx9mqdphs7-cmake-minimal-3.31.10/bin/cmake -E copy /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/ice40/chipdb-1k.bba /gnu/store/davzxg5m27gz2djfkghkz3pb7ys8gvgi-nextpnr-ice40-0.9-0.ad76625-bba/share/nextpnr/bba-files/ice40/chipdb-1k.bba [ 25%] Generating chipdb-1k.bin.cc cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/ice40 && ../bba/bbasm --le --c /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/ice40/chipdb-1k.bba /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/ice40/chipdb-1k.bin.cc Generate nodes for CGB[40x34]... Generate nodes for CGB[40x42]... Generate nodes for CGB[40x46]... Generate nodes for CGB[41x18]... Generate nodes for CGB[41x22]... Generate nodes for CGB[41x30]... Generate nodes for CGB[41x34]... Generate nodes for CGB[41x42]... Generate nodes for CGB[41x46]... Generate nodes for CGB[42x18]... Generate nodes for CGB[42x22]... Generate nodes for CGB[42x30]... Generate nodes for CGB[42x34]... Generate nodes for CGB[42x42]... Generate nodes for CGB[42x46]... Generate nodes for CGB[43x18]... Generate nodes for CGB[43x22]... Generate nodes for CGB[43x30]... Generate nodes for CGB[43x34]... Generate nodes for CGB[43x42]... Generate nodes for CGB[43x46]... Generate nodes for CGB[44x18]... Generate nodes for CGB[44x22]... Generate nodes for CGB[44x30]... Generate nodes for CGB[44x34]... Generate nodes for CGB[44x42]... Generate nodes for CGB[44x46]... Generate nodes for CGB[45x18]... Generate nodes for CGB[45x22]... Generate nodes for CGB[45x30]... Generate nodes for CGB[45x34]... Generate nodes for CGB[45x42]... Generate nodes for CGB[45x46]... Generate nodes for CGB[46x18]... Generate nodes for CGB[46x22]... Generate nodes for CGB[46x30]... Generate nodes for CGB[46x34]... Generate nodes for CGB[46x42]... Generate nodes for CGB[46x46]... Generate nodes for CGB[47x18]... Generate nodes for CGB[47x22]... Generate nodes for CGB[47x30]... Generate nodes for CGB[47x34]... Generate nodes for CGB[47x42]... Generate nodes for CGB[47x46]... Generate nodes for CGB[48x18]... Generate nodes for CGB[48x22]... Generate nodes for CGB[48x30]... Generate nodes for CGB[48x34]... Generate nodes for CGB[48x42]... Generate nodes for CGB[48x46]... Generate nodes for CGB[49x18]... Generate nodes for CGB[49x22]... Generate nodes for CGB[49x30]... Generate nodes for CGB[49x34]... Generate nodes for CGB[49x42]... Generate nodes for CGB[49x46]... Generate nodes for CGB[4x10]... Generate nodes for CGB[4x18]... Generate nodes for CGB[4x22]... Generate nodes for CGB[4x30]... Generate nodes for CGB[4x34]... Generate nodes for CGB[4x42]... Generate nodes for CGB[4x46]... Generate nodes for CGB[4x6]... Generate nodes for CGB[50x18]... Generate nodes for CGB[50x22]... Generate nodes for CGB[50x30]... Generate nodes for CGB[50x34]... Generate nodes for CGB[50x42]... Generate nodes for CGB[50x46]... Generate nodes for CGB[51x18]... Generate nodes for CGB[51x22]... Generate nodes for CGB[51x30]... Generate nodes for CGB[51x34]... Generate nodes for CGB[51x42]... Generate nodes for CGB[51x46]... Generate nodes for CGB[52x18]... Generate nodes for CGB[52x22]... Generate nodes for CGB[52x30]... Generate nodes for CGB[52x34]... Generate nodes for CGB[52x42]... Generate nodes for CGB[52x46]... Generate nodes for CGB[53x18]... Generate nodes for CGB[53x22]... Generate nodes for CGB[53x30]... Generate nodes for CGB[53x34]... Generate nodes for CGB[53x42]... Generate nodes for CGB[53x46]... Generate nodes for CGB[54x18]... Generate nodes for CGB[54x22]... Generate nodes for CGB[54x30]... Generate nodes for CGB[54x34]... Generate nodes for CGB[54x42]... Generate nodes for CGB[54x46]... Generate nodes for CGB[55x18]... Generate nodes for CGB[55x22]... Generate nodes for CGB[55x30]... Generate nodes for CGB[55x34]... Generate nodes for CGB[55x42]... Generate nodes for CGB[55x46]... Generate nodes for CGB[56x18]... Generate nodes for CGB[56x22]... Generate nodes for CGB[56x30]... Generate nodes for CGB[56x34]... Generate nodes for CGB[56x42]... Generate nodes for CGB[56x46]... Generate nodes for CGB[57x18]... Generate nodes for CGB[57x22]... Generate nodes for CGB[57x30]... Generate nodes for CGB[57x34]... Generate nodes for CGB[57x42]... Generate nodes for CGB[57x46]... Generate nodes for CGB[58x18]... Generate nodes for CGB[58x22]... Generate nodes for CGB[58x30]... Generate nodes for CGB[58x34]... Generate nodes for CGB[58x42]... Generate nodes for CGB[58x46]... Generate nodes for CGB[59x18]... Generate nodes for CGB[59x22]... Generate nodes for CGB[59x30]... Generate nodes for CGB[59x34]... Generate nodes for CGB[59x42]... Generate nodes for CGB[59x46]... Generate nodes for CGB[5x10]... Generate nodes for CGB[5x18]... Generate nodes for CGB[5x22]... Generate nodes for CGB[5x30]... Generate nodes for CGB[5x34]... Generate nodes for CGB[5x42]... Generate nodes for CGB[5x46]... Generate nodes for CGB[5x6]... Generate nodes for CGB[60x18]... Generate nodes for CGB[60x22]... Generate nodes for CGB[60x30]... Generate nodes for CGB[60x34]... Generate nodes for CGB[60x42]... Generate nodes for CGB[60x46]... Generate nodes for CGB[61x10]... Generate nodes for CGB[61x18]... Generate nodes for CGB[61x22]... Generate nodes for CGB[61x30]... Generate nodes for CGB[61x34]... Generate nodes for CGB[61x42]... Generate nodes for CGB[61x46]... Generate nodes for CGB[61x6]... Generate nodes for CGB[62x10]... Generate nodes for CGB[62x18]... Generate nodes for CGB[62x22]... Generate nodes for CGB[62x30]... Generate nodes for CGB[62x34]... Generate nodes for CGB[62x42]... Generate nodes for CGB[62x46]... Generate nodes for CGB[62x6]... Generate nodes for CGB[63x10]... Generate nodes for CGB[63x18]... Generate nodes for CGB[63x22]... Generate nodes for CGB[63x30]... Generate nodes for CGB[63x34]... Generate nodes for CGB[63x42]... Generate nodes for CGB[63x46]... Generate nodes for CGB[63x6]... Generate nodes for CGB[64x10]... Generate nodes for CGB[64x18]... Generate nodes for CGB[64x22]... Generate nodes for CGB[64x30]... Generate nodes for CGB[64x34]... Generate nodes for CGB[64x42]... Generate nodes for CGB[64x46]... Generate nodes for CGB[64x6]... Generate nodes for CGB[65x10]... Generate nodes for CGB[65x18]... Generate nodes for CGB[65x22]... Generate nodes for CGB[65x30]... Generate nodes for CGB[65x34]... Generate nodes for CGB[65x42]... Generate nodes for CGB[65x46]... Generate nodes for CGB[65x6]... Generate nodes for CGB[66x10]... Generate nodes for CGB[66x18]... Generate nodes for CGB[66x22]... Generate nodes for CGB[66x30]... Generate nodes for CGB[66x34]... Generate nodes for CGB[66x42]... Generate nodes for CGB[66x46]... Generate nodes for CGB[66x6]... Generate nodes for CGB[67x10]... Generate nodes for CGB[67x18]... Generate nodes for CGB[67x22]... Generate nodes for CGB[67x30]... Generate nodes for CGB[67x34]... Generate nodes for CGB[67x42]... Generate nodes for CGB[67x46]... Generate nodes for CGB[67x6]... Generate nodes for CGB[68x10]... Generate nodes for CGB[68x18]... Generate nodes for CGB[68x22]... Generate nodes for CGB[68x30]... Generate nodes for CGB[68x34]... Generate nodes for CGB[68x42]... Generate nodes for CGB[68x46]... Generate nodes for CGB[68x6]... Generate nodes for CGB[69x10]... Generate nodes for CGB[69x18]... Generate nodes for CGB[69x22]... Generate nodes for CGB[69x30]... Generate nodes for CGB[69x34]... Generate nodes for CGB[69x42]... Generate nodes for CGB[69x46]... Generate nodes for CGB[69x6]... Generate nodes for CGB[6x10]... Generate nodes for CGB[6x18]... Generate nodes for CGB[6x22]... Generate nodes for CGB[6x30]... Generate nodes for CGB[6x34]... Generate nodes for CGB[6x42]... Generate nodes for CGB[6x46]... Generate nodes for CGB[6x6]... Generate nodes for CGB[70x10]... Generate nodes for CGB[70x18]... Generate nodes for CGB[70x22]... Generate nodes for CGB[70x30]... Generate nodes for CGB[70x34]... Generate nodes for CGB[70x42]... Generate nodes for CGB[70x46]... Generate nodes for CGB[70x6]... Generate nodes for CGB[71x10]... Generate nodes for CGB[71x18]... Generate nodes for CGB[71x22]... Generate nodes for CGB[71x30]... Generate nodes for CGB[71x34]... Generate nodes for CGB[71x42]... Generate nodes for CGB[71x46]... Generate nodes for CGB[71x6]... Generate nodes for CGB[72x10]... Generate nodes for CGB[72x18]... Generate nodes for CGB[72x22]... Generate nodes for CGB[72x30]... Generate nodes for CGB[72x34]... Generate nodes for CGB[72x42]... Generate nodes for CGB[72x46]... Generate nodes for CGB[72x6]... Generate nodes for CGB[73x10]... Generate nodes for CGB[73x18]... Generate nodes for CGB[73x22]... Generate nodes for CGB[73x30]... Generate nodes for CGB[73x34]... Generate nodes for CGB[73x42]... Generate nodes for CGB[73x46]...make[2]: Leaving directory '/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build' [ 25%] Built target nextpnr-ice40-gui make[2]: Leaving directory '/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build' [ 25%] Built target nextpnr-generic-gui /gnu/store/mx9i9dnjx34lkx8k8r79876q2f5dclvr-make-4.4.1/bin/make -f generic/CMakeFiles/nextpnr-generic.dir/build.make generic/CMakeFiles/nextpnr-generic.dir/depend /gnu/store/mx9i9dnjx34lkx8k8r79876q2f5dclvr-make-4.4.1/bin/make -f generic/CMakeFiles/nextpnr-generic-test.dir/build.make generic/CMakeFiles/nextpnr-generic-test.dir/depend make[2]: Entering directory '/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build' cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build && /gnu/store/d5c8i3b549r412lkhddggyfx9mqdphs7-cmake-minimal-3.31.10/bin/cmake -E cmake_depends "Unix Makefiles" /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/generic /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/generic /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/generic/CMakeFiles/nextpnr-generic.dir/DependInfo.cmake "--color=" make[2]: Entering directory '/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build' cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build && /gnu/store/d5c8i3b549r412lkhddggyfx9mqdphs7-cmake-minimal-3.31.10/bin/cmake -E cmake_depends "Unix Makefiles" /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/generic /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/generic /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/generic/CMakeFiles/nextpnr-generic-test.dir/DependInfo.cmake "--color=" make[2]: Leaving directory '/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build' make[2]: Leaving directory '/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build' /gnu/store/mx9i9dnjx34lkx8k8r79876q2f5dclvr-make-4.4.1/bin/make -f generic/CMakeFiles/nextpnr-generic.dir/build.make generic/CMakeFiles/nextpnr-generic.dir/build /gnu/store/mx9i9dnjx34lkx8k8r79876q2f5dclvr-make-4.4.1/bin/make -f generic/CMakeFiles/nextpnr-generic-test.dir/build.make generic/CMakeFiles/nextpnr-generic-test.dir/build make[2]: Entering directory '/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build' make[2]: Entering directory '/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build' [ 25%] Building CXX object generic/CMakeFiles/nextpnr-generic.dir/arch.cc.o [ 25%] Building CXX object generic/CMakeFiles/nextpnr-generic-test.dir/arch.cc.o [ 25%] Building CXX object generic/CMakeFiles/nextpnr-generic.dir/main.cc.o [ 25%] Building CXX object generic/CMakeFiles/nextpnr-generic-test.dir/arch_pybindings.cc.o [ 25%] Building CXX object generic/CMakeFiles/nextpnr-generic.dir/cells.cc.o [ 25%] Building CXX object generic/CMakeFiles/nextpnr-generic.dir/arch_pybindings.cc.o [ 25%] Building CXX object generic/CMakeFiles/nextpnr-generic-test.dir/cells.cc.o cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/generic && /gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/bin/c++ -DARCHNAME=generic -DARCH_GENERIC -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DNEXTPNR_NAMESPACE=nextpnr_generic -DNO_RUST -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -Dnextpnr_generic_EXPORTS -I/gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/include/python3.11 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/generic -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/common -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/frontend/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/json/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/rust/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/json11/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/place/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/route/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/oourafft/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/generic -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui -isystem /gnu/store/r94kayv6y93mj1hgc13k11klha366dsw-eigen-3.4.0/include/eigen3 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtWidgets -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtGui -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtCore -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/lib/qt5/mkspecs/linux-g++ -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -fdiagnostics-color=always -fPIC -MD -MT generic/CMakeFiles/nextpnr-generic.dir/arch.cc.o -MF CMakeFiles/nextpnr-generic.dir/arch.cc.o.d -o CMakeFiles/nextpnr-generic.dir/arch.cc.o -c /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/generic/arch.cc cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/generic && /gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/bin/c++ -DARCHNAME=generic -DARCH_GENERIC -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DGTEST_LINKED_AS_SHARED_LIBRARY=1 -DNEXTPNR_NAMESPACE=nextpnr_generic -DNO_RUST -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -Dnextpnr_generic_test_EXPORTS -I/gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/include/python3.11 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/generic/gtest_include_dir -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/generic -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/common -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/frontend/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/json/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/rust/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/json11/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/place/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/route/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/oourafft/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/generic -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui -isystem /gnu/store/r94kayv6y93mj1hgc13k11klha366dsw-eigen-3.4.0/include/eigen3 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtWidgets -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtGui -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtCore -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/lib/qt5/mkspecs/linux-g++ -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -fdiagnostics-color=always -fPIC -MD -MT generic/CMakeFiles/nextpnr-generic-test.dir/arch.cc.o -MF CMakeFiles/nextpnr-generic-test.dir/arch.cc.o.d -o CMakeFiles/nextpnr-generic-test.dir/arch.cc.o -c /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/generic/arch.cc [ 25%] Building CXX object generic/CMakeFiles/nextpnr-generic-test.dir/pack.cc.o cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/generic && /gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/bin/c++ -DARCHNAME=generic -DARCH_GENERIC -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DNEXTPNR_NAMESPACE=nextpnr_generic -DNO_RUST -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -Dnextpnr_generic_EXPORTS -I/gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/include/python3.11 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/generic -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/common -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/frontend/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/json/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/rust/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/json11/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/place/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/route/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/oourafft/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/generic -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui -isystem /gnu/store/r94kayv6y93mj1hgc13k11klha366dsw-eigen-3.4.0/include/eigen3 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtWidgets -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtGui -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtCore -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/lib/qt5/mkspecs/linux-g++ -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -fdiagnostics-color=always -fPIC -MD -MT generic/CMakeFiles/nextpnr-generic.dir/main.cc.o -MF CMakeFiles/nextpnr-generic.dir/main.cc.o.d -o CMakeFiles/nextpnr-generic.dir/main.cc.o -c /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/generic/main.cc [ 26%] Building CXX object generic/CMakeFiles/nextpnr-generic-test.dir/viaduct_helpers.cc.o [ 26%] Building CXX object generic/CMakeFiles/nextpnr-generic.dir/pack.cc.o [ 26%] Building CXX object generic/CMakeFiles/nextpnr-generic.dir/viaduct_api.cc.o [ 26%] Building CXX object generic/CMakeFiles/nextpnr-generic-test.dir/viaduct_api.cc.o cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/generic && /gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/bin/c++ -DARCHNAME=generic -DARCH_GENERIC -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DGTEST_LINKED_AS_SHARED_LIBRARY=1 -DNEXTPNR_NAMESPACE=nextpnr_generic -DNO_RUST -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -Dnextpnr_generic_test_EXPORTS -I/gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/include/python3.11 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/generic/gtest_include_dir -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/generic -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/common -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/frontend/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/json/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/rust/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/json11/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/place/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/route/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/oourafft/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/generic -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui -isystem /gnu/store/r94kayv6y93mj1hgc13k11klha366dsw-eigen-3.4.0/include/eigen3 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtWidgets -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtGui -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtCore -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/lib/qt5/mkspecs/linux-g++ -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -fdiagnostics-color=always -fPIC -MD -MT generic/CMakeFiles/nextpnr-generic-test.dir/arch_pybindings.cc.o -MF CMakeFiles/nextpnr-generic-test.dir/arch_pybindings.cc.o.d -o CMakeFiles/nextpnr-generic-test.dir/arch_pybindings.cc.o -c /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/generic/arch_pybindings.cc cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/generic && /gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/bin/c++ -DARCHNAME=generic -DARCH_GENERIC -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DNEXTPNR_NAMESPACE=nextpnr_generic -DNO_RUST -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -Dnextpnr_generic_EXPORTS -I/gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/include/python3.11 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/generic -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/common -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/frontend/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/json/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/rust/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/json11/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/place/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/route/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/oourafft/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/generic -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui -isystem /gnu/store/r94kayv6y93mj1hgc13k11klha366dsw-eigen-3.4.0/include/eigen3 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtWidgets -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtGui -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtCore -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/lib/qt5/mkspecs/linux-g++ -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -fdiagnostics-color=always -fPIC -MD -MT generic/CMakeFiles/nextpnr-generic.dir/cells.cc.o -MF CMakeFiles/nextpnr-generic.dir/cells.cc.o.d -o CMakeFiles/nextpnr-generic.dir/cells.cc.o -c /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/generic/cells.cc [ 26%] Building CXX object generic/CMakeFiles/nextpnr-generic.dir/viaduct_helpers.cc.o [ 26%] Building CXX object generic/CMakeFiles/nextpnr-generic-test.dir/viaduct/example/example.cc.o cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/generic && /gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/bin/c++ -DARCHNAME=generic -DARCH_GENERIC -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DNEXTPNR_NAMESPACE=nextpnr_generic -DNO_RUST -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -Dnextpnr_generic_EXPORTS -I/gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/include/python3.11 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/generic -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/common -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/frontend/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/json/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/rust/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/json11/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/place/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/route/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/oourafft/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/generic -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui -isystem /gnu/store/r94kayv6y93mj1hgc13k11klha366dsw-eigen-3.4.0/include/eigen3 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtWidgets -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtGui -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtCore -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/lib/qt5/mkspecs/linux-g++ -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -fdiagnostics-color=always -fPIC -MD -MT generic/CMakeFiles/nextpnr-generic.dir/arch_pybindings.cc.o -MF CMakeFiles/nextpnr-generic.dir/arch_pybindings.cc.o.d -o CMakeFiles/nextpnr-generic.dir/arch_pybindings.cc.o -c /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/generic/arch_pybindings.cc [ 26%] Building CXX object generic/CMakeFiles/nextpnr-generic.dir/viaduct/example/example.cc.o [ 26%] Building CXX object generic/CMakeFiles/nextpnr-generic-test.dir/viaduct/fabulous/fabulous.cc.o [ 27%] Building CXX object generic/CMakeFiles/nextpnr-generic-test.dir/viaduct/fabulous/fasm.cc.o [ 27%] Building CXX object generic/CMakeFiles/nextpnr-generic-test.dir/viaduct/fabulous/pack.cc.o [ 27%] Building CXX object generic/CMakeFiles/nextpnr-generic.dir/viaduct/fabulous/fabulous.cc.o cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/generic && /gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/bin/c++ -DARCHNAME=generic -DARCH_GENERIC -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DNEXTPNR_NAMESPACE=nextpnr_generic -DNO_RUST -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -Dnextpnr_generic_EXPORTS -I/gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/include/python3.11 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/generic -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/common -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/frontend/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/json/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/rust/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/json11/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/place/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/route/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/oourafft/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/generic -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui -isystem /gnu/store/r94kayv6y93mj1hgc13k11klha366dsw-eigen-3.4.0/include/eigen3 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtWidgets -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtGui -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtCore -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/lib/qt5/mkspecs/linux-g++ -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -fdiagnostics-color=always -fPIC -MD -MT generic/CMakeFiles/nextpnr-generic.dir/pack.cc.o -MF CMakeFiles/nextpnr-generic.dir/pack.cc.o.d -o CMakeFiles/nextpnr-generic.dir/pack.cc.o -c /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/generic/pack.cc cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/generic && /gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/bin/c++ -DARCHNAME=generic -DARCH_GENERIC -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DGTEST_LINKED_AS_SHARED_LIBRARY=1 -DNEXTPNR_NAMESPACE=nextpnr_generic -DNO_RUST -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -Dnextpnr_generic_test_EXPORTS -I/gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/include/python3.11 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/generic/gtest_include_dir -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/generic -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/common -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/frontend/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/json/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/rust/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/json11/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/place/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/route/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/oourafft/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/generic -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui -isystem /gnu/store/r94kayv6y93mj1hgc13k11klha366dsw-eigen-3.4.0/include/eigen3 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtWidgets -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtGui -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtCore -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/lib/qt5/mkspecs/linux-g++ -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -fdiagnostics-color=always -fPIC -MD -MT generic/CMakeFiles/nextpnr-generic-test.dir/cells.cc.o -MF CMakeFiles/nextpnr-generic-test.dir/cells.cc.o.d -o CMakeFiles/nextpnr-generic-test.dir/cells.cc.o -c /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/generic/cells.cc [ 27%] Building CXX object generic/CMakeFiles/nextpnr-generic-test.dir/viaduct/fabulous/validity_check.cc.o [ 27%] Building CXX object generic/CMakeFiles/nextpnr-generic.dir/viaduct/fabulous/fasm.cc.o [ 27%] Building CXX object generic/CMakeFiles/nextpnr-generic-test.dir/__/common/kernel/bits.cc.o cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/generic && /gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/bin/c++ -DARCHNAME=generic -DARCH_GENERIC -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DNEXTPNR_NAMESPACE=nextpnr_generic -DNO_RUST -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -Dnextpnr_generic_EXPORTS -I/gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/include/python3.11 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/generic -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/common -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/frontend/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/json/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/rust/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/json11/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/place/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/route/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/oourafft/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/generic -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui -isystem /gnu/store/r94kayv6y93mj1hgc13k11klha366dsw-eigen-3.4.0/include/eigen3 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtWidgets -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtGui -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtCore -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/lib/qt5/mkspecs/linux-g++ -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -fdiagnostics-color=always -fPIC -MD -MT generic/CMakeFiles/nextpnr-generic.dir/viaduct_api.cc.o -MF CMakeFiles/nextpnr-generic.dir/viaduct_api.cc.o.d -o CMakeFiles/nextpnr-generic.dir/viaduct_api.cc.o -c /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/generic/viaduct_api.cc [ 27%] Building CXX object generic/CMakeFiles/nextpnr-generic-test.dir/__/common/kernel/embed.cc.o [ 27%] Building CXX object generic/CMakeFiles/nextpnr-generic-test.dir/__/common/kernel/command.cc.o cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/generic && /gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/bin/c++ -DARCHNAME=generic -DARCH_GENERIC -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DGTEST_LINKED_AS_SHARED_LIBRARY=1 -DNEXTPNR_NAMESPACE=nextpnr_generic -DNO_RUST -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -Dnextpnr_generic_test_EXPORTS -I/gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/include/python3.11 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/generic/gtest_include_dir -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/generic -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/common -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/frontend/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/json/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/rust/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/json11/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/place/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/route/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/oourafft/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/generic -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui -isystem /gnu/store/r94kayv6y93mj1hgc13k11klha366dsw-eigen-3.4.0/include/eigen3 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtWidgets -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtGui -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtCore -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/lib/qt5/mkspecs/linux-g++ -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -fdiagnostics-color=always -fPIC -MD -MT generic/CMakeFiles/nextpnr-generic-test.dir/pack.cc.o -MF CMakeFiles/nextpnr-generic-test.dir/pack.cc.o.d -o CMakeFiles/nextpnr-generic-test.dir/pack.cc.o -c /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/generic/pack.cc [ 27%] Building CXX object generic/CMakeFiles/nextpnr-generic.dir/__/common/kernel/archcheck.cc.o cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/generic && /gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/bin/c++ -DARCHNAME=generic -DARCH_GENERIC -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DNEXTPNR_NAMESPACE=nextpnr_generic -DNO_RUST -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -Dnextpnr_generic_EXPORTS -I/gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/include/python3.11 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/generic -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/common -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/frontend/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/json/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/rust/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/json11/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/place/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/route/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/oourafft/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/generic -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui -isystem /gnu/store/r94kayv6y93mj1hgc13k11klha366dsw-eigen-3.4.0/include/eigen3 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtWidgets -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtGui -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtCore -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/lib/qt5/mkspecs/linux-g++ -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -fdiagnostics-color=always -fPIC -MD -MT generic/CMakeFiles/nextpnr-generic.dir/viaduct_helpers.cc.o -MF CMakeFiles/nextpnr-generic.dir/viaduct_helpers.cc.o.d -o CMakeFiles/nextpnr-generic.dir/viaduct_helpers.cc.o -c /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/generic/viaduct_helpers.cc cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/generic && /gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/bin/c++ -DARCHNAME=generic -DARCH_GENERIC -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DNEXTPNR_NAMESPACE=nextpnr_generic -DNO_RUST -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -Dnextpnr_generic_EXPORTS -I/gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/include/python3.11 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/generic -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/common -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/frontend/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/json/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/rust/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/json11/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/place/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/route/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/oourafft/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/generic -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui -isystem /gnu/store/r94kayv6y93mj1hgc13k11klha366dsw-eigen-3.4.0/include/eigen3 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtWidgets -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtGui -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtCore -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/lib/qt5/mkspecs/linux-g++ -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -fdiagnostics-color=always -fPIC -MD -MT generic/CMakeFiles/nextpnr-generic.dir/viaduct/example/example.cc.o -MF CMakeFiles/nextpnr-generic.dir/viaduct/example/example.cc.o.d -o CMakeFiles/nextpnr-generic.dir/viaduct/example/example.cc.o -c /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/generic/viaduct/example/example.cc [ 27%] Building CXX object generic/CMakeFiles/nextpnr-generic.dir/viaduct/fabulous/pack.cc.o [ 27%] Building CXX object generic/CMakeFiles/nextpnr-generic-test.dir/__/common/kernel/archcheck.cc.o [ 27%] Building CXX object generic/CMakeFiles/nextpnr-generic.dir/viaduct/fabulous/validity_check.cc.o [ 27%] Building CXX object generic/CMakeFiles/nextpnr-generic-test.dir/__/common/kernel/basectx.cc.o cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/generic && /gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/bin/c++ -DARCHNAME=generic -DARCH_GENERIC -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DNEXTPNR_NAMESPACE=nextpnr_generic -DNO_RUST -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -Dnextpnr_generic_EXPORTS -I/gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/include/python3.11 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/generic -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/common -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/frontend/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/json/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/rust/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/json11/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/place/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/route/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/oourafft/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/generic -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui -isystem /gnu/store/r94kayv6y93mj1hgc13k11klha366dsw-eigen-3.4.0/include/eigen3 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtWidgets -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtGui -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtCore -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/lib/qt5/mkspecs/linux-g++ -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -fdiagnostics-color=always -fPIC -MD -MT generic/CMakeFiles/nextpnr-generic.dir/viaduct/fabulous/fabulous.cc.o -MF CMakeFiles/nextpnr-generic.dir/viaduct/fabulous/fabulous.cc.o.d -o CMakeFiles/nextpnr-generic.dir/viaduct/fabulous/fabulous.cc.o -c /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/generic/viaduct/fabulous/fabulous.cc [ 27%] Building CXX object generic/CMakeFiles/nextpnr-generic.dir/__/common/kernel/basectx.cc.o cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/generic && /gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/bin/c++ -DARCHNAME=generic -DARCH_GENERIC -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DGTEST_LINKED_AS_SHARED_LIBRARY=1 -DNEXTPNR_NAMESPACE=nextpnr_generic -DNO_RUST -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -Dnextpnr_generic_test_EXPORTS -I/gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/include/python3.11 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/generic/gtest_include_dir -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/generic -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/common -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/frontend/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/json/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/rust/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/json11/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/place/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/route/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/oourafft/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/generic -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui -isystem /gnu/store/r94kayv6y93mj1hgc13k11klha366dsw-eigen-3.4.0/include/eigen3 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtWidgets -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtGui -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtCore -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/lib/qt5/mkspecs/linux-g++ -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -fdiagnostics-color=always -fPIC -MD -MT generic/CMakeFiles/nextpnr-generic-test.dir/viaduct_api.cc.o -MF CMakeFiles/nextpnr-generic-test.dir/viaduct_api.cc.o.d -o CMakeFiles/nextpnr-generic-test.dir/viaduct_api.cc.o -c /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/generic/viaduct_api.cc [ 27%] Building CXX object generic/CMakeFiles/nextpnr-generic.dir/__/common/kernel/context.cc.o [ 27%] Building CXX object generic/CMakeFiles/nextpnr-generic-test.dir/__/common/kernel/handle_error.cc.o [ 27%] Building CXX object generic/CMakeFiles/nextpnr-generic-test.dir/__/common/kernel/context.cc.o [ 28%] Building CXX object generic/CMakeFiles/nextpnr-generic-test.dir/__/common/kernel/idstring.cc.o [ 28%] Building CXX object generic/CMakeFiles/nextpnr-generic.dir/__/common/kernel/design_utils.cc.o cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/generic && /gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/bin/c++ -DARCHNAME=generic -DARCH_GENERIC -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DNEXTPNR_NAMESPACE=nextpnr_generic -DNO_RUST -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -Dnextpnr_generic_EXPORTS -I/gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/include/python3.11 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/generic -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/common -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/frontend/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/json/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/rust/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/json11/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/place/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/route/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/oourafft/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/generic -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui -isystem /gnu/store/r94kayv6y93mj1hgc13k11klha366dsw-eigen-3.4.0/include/eigen3 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtWidgets -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtGui -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtCore -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/lib/qt5/mkspecs/linux-g++ -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -fdiagnostics-color=always -fPIC -MD -MT generic/CMakeFiles/nextpnr-generic.dir/viaduct/fabulous/fasm.cc.o -MF CMakeFiles/nextpnr-generic.dir/viaduct/fabulous/fasm.cc.o.d -o CMakeFiles/nextpnr-generic.dir/viaduct/fabulous/fasm.cc.o -c /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/generic/viaduct/fabulous/fasm.cc [ 28%] Building CXX object generic/CMakeFiles/nextpnr-generic-test.dir/__/common/kernel/design_utils.cc.o [ 28%] Building CXX object generic/CMakeFiles/nextpnr-generic.dir/__/common/kernel/command.cc.o [ 29%] Building CXX object generic/CMakeFiles/nextpnr-generic.dir/__/common/kernel/embed.cc.o [ 29%] Building CXX object generic/CMakeFiles/nextpnr-generic.dir/__/common/kernel/bits.cc.o cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/generic && /gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/bin/c++ -DARCHNAME=generic -DARCH_GENERIC -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DNEXTPNR_NAMESPACE=nextpnr_generic -DNO_RUST -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -Dnextpnr_generic_EXPORTS -I/gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/include/python3.11 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/generic -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/common -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/frontend/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/json/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/rust/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/json11/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/place/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/route/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/oourafft/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/generic -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui -isystem /gnu/store/r94kayv6y93mj1hgc13k11klha366dsw-eigen-3.4.0/include/eigen3 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtWidgets -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtGui -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtCore -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/lib/qt5/mkspecs/linux-g++ -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -fdiagnostics-color=always -fPIC -MD -MT generic/CMakeFiles/nextpnr-generic.dir/viaduct/fabulous/pack.cc.o -MF CMakeFiles/nextpnr-generic.dir/viaduct/fabulous/pack.cc.o.d -o CMakeFiles/nextpnr-generic.dir/viaduct/fabulous/pack.cc.o -c /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/generic/viaduct/fabulous/pack.cc [ 29%] Building CXX object generic/CMakeFiles/nextpnr-generic.dir/__/common/kernel/handle_error.cc.o cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/generic && /gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/bin/c++ -DARCHNAME=generic -DARCH_GENERIC -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DGTEST_LINKED_AS_SHARED_LIBRARY=1 -DNEXTPNR_NAMESPACE=nextpnr_generic -DNO_RUST -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -Dnextpnr_generic_test_EXPORTS -I/gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/include/python3.11 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/generic/gtest_include_dir -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/generic -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/common -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/frontend/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/json/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/rust/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/json11/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/place/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/route/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/oourafft/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/generic -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui -isystem /gnu/store/r94kayv6y93mj1hgc13k11klha366dsw-eigen-3.4.0/include/eigen3 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtWidgets -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtGui -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtCore -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/lib/qt5/mkspecs/linux-g++ -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -fdiagnostics-color=always -fPIC -MD -MT generic/CMakeFiles/nextpnr-generic-test.dir/viaduct_helpers.cc.o -MF CMakeFiles/nextpnr-generic-test.dir/viaduct_helpers.cc.o.d -o CMakeFiles/nextpnr-generic-test.dir/viaduct_helpers.cc.o -c /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/generic/viaduct_helpers.cc cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/generic && /gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/bin/c++ -DARCHNAME=generic -DARCH_GENERIC -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DNEXTPNR_NAMESPACE=nextpnr_generic -DNO_RUST -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -Dnextpnr_generic_EXPORTS -I/gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/include/python3.11 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/generic -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/common -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/frontend/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/json/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/rust/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/json11/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/place/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/route/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/oourafft/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/generic -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui -isystem /gnu/store/r94kayv6y93mj1hgc13k11klha366dsw-eigen-3.4.0/include/eigen3 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtWidgets -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtGui -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtCore -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/lib/qt5/mkspecs/linux-g++ -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -fdiagnostics-color=always -fPIC -MD -MT generic/CMakeFiles/nextpnr-generic.dir/viaduct/fabulous/validity_check.cc.o -MF CMakeFiles/nextpnr-generic.dir/viaduct/fabulous/validity_check.cc.o.d -o CMakeFiles/nextpnr-generic.dir/viaduct/fabulous/validity_check.cc.o -c /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/generic/viaduct/fabulous/validity_check.cc [ 29%] Building CXX object generic/CMakeFiles/nextpnr-generic-test.dir/__/common/kernel/idstringlist.cc.o [ 29%] Building CXX object generic/CMakeFiles/nextpnr-generic-test.dir/__/common/kernel/log.cc.o cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/generic && /gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/bin/c++ -DARCHNAME=generic -DARCH_GENERIC -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DNEXTPNR_NAMESPACE=nextpnr_generic -DNO_RUST -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -Dnextpnr_generic_EXPORTS -I/gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/include/python3.11 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/generic -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/common -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/frontend/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/json/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/rust/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/json11/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/place/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/route/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/oourafft/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/generic -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui -isystem /gnu/store/r94kayv6y93mj1hgc13k11klha366dsw-eigen-3.4.0/include/eigen3 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtWidgets -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtGui -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtCore -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/lib/qt5/mkspecs/linux-g++ -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -fdiagnostics-color=always -fPIC -MD -MT generic/CMakeFiles/nextpnr-generic.dir/__/common/kernel/archcheck.cc.o -MF CMakeFiles/nextpnr-generic.dir/__/common/kernel/archcheck.cc.o.d -o CMakeFiles/nextpnr-generic.dir/__/common/kernel/archcheck.cc.o -c /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/archcheck.cc [ 29%] Building CXX object generic/CMakeFiles/nextpnr-generic.dir/__/common/kernel/idstring.cc.o [ 29%] Building CXX object generic/CMakeFiles/nextpnr-generic-test.dir/__/common/kernel/nextpnr.cc.o cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/generic && /gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/bin/c++ -DARCHNAME=generic -DARCH_GENERIC -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DNEXTPNR_NAMESPACE=nextpnr_generic -DNO_RUST -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -Dnextpnr_generic_EXPORTS -I/gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/include/python3.11 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/generic -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/common -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/frontend/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/json/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/rust/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/json11/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/place/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/route/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/oourafft/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/generic -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui -isystem /gnu/store/r94kayv6y93mj1hgc13k11klha366dsw-eigen-3.4.0/include/eigen3 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtWidgets -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtGui -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtCore -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/lib/qt5/mkspecs/linux-g++ -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -fdiagnostics-color=always -fPIC -MD -MT generic/CMakeFiles/nextpnr-generic.dir/__/common/kernel/basectx.cc.o -MF CMakeFiles/nextpnr-generic.dir/__/common/kernel/basectx.cc.o.d -o CMakeFiles/nextpnr-generic.dir/__/common/kernel/basectx.cc.o -c /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/basectx.cc cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/generic && /gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/bin/c++ -DARCHNAME=generic -DARCH_GENERIC -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DGTEST_LINKED_AS_SHARED_LIBRARY=1 -DNEXTPNR_NAMESPACE=nextpnr_generic -DNO_RUST -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -Dnextpnr_generic_test_EXPORTS -I/gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/include/python3.11 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/generic/gtest_include_dir -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/generic -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/common -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/frontend/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/json/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/rust/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/json11/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/place/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/route/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/oourafft/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/generic -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui -isystem /gnu/store/r94kayv6y93mj1hgc13k11klha366dsw-eigen-3.4.0/include/eigen3 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtWidgets -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtGui -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtCore -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/lib/qt5/mkspecs/linux-g++ -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -fdiagnostics-color=always -fPIC -MD -MT generic/CMakeFiles/nextpnr-generic-test.dir/viaduct/example/example.cc.o -MF CMakeFiles/nextpnr-generic-test.dir/viaduct/example/example.cc.o.d -o CMakeFiles/nextpnr-generic-test.dir/viaduct/example/example.cc.o -c /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/generic/viaduct/example/example.cc cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/generic && /gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/bin/c++ -DARCHNAME=generic -DARCH_GENERIC -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DNEXTPNR_NAMESPACE=nextpnr_generic -DNO_RUST -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -Dnextpnr_generic_EXPORTS -I/gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/include/python3.11 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/generic -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/common -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/frontend/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/json/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/rust/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/json11/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/place/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/route/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/oourafft/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/generic -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui -isystem /gnu/store/r94kayv6y93mj1hgc13k11klha366dsw-eigen-3.4.0/include/eigen3 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtWidgets -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtGui -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtCore -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/lib/qt5/mkspecs/linux-g++ -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -fdiagnostics-color=always -fPIC -MD -MT generic/CMakeFiles/nextpnr-generic.dir/__/common/kernel/bits.cc.o -MF CMakeFiles/nextpnr-generic.dir/__/common/kernel/bits.cc.o.d -o CMakeFiles/nextpnr-generic.dir/__/common/kernel/bits.cc.o -c /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/bits.cc [ 29%] Building CXX object generic/CMakeFiles/nextpnr-generic.dir/__/common/kernel/idstringlist.cc.o cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/generic && /gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/bin/c++ -DARCHNAME=generic -DARCH_GENERIC -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DGTEST_LINKED_AS_SHARED_LIBRARY=1 -DNEXTPNR_NAMESPACE=nextpnr_generic -DNO_RUST -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -Dnextpnr_generic_test_EXPORTS -I/gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/include/python3.11 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/generic/gtest_include_dir -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/generic -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/common -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/frontend/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/json/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/rust/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/json11/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/place/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/route/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/oourafft/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/generic -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui -isystem /gnu/store/r94kayv6y93mj1hgc13k11klha366dsw-eigen-3.4.0/include/eigen3 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtWidgets -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtGui -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtCore -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/lib/qt5/mkspecs/linux-g++ -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -fdiagnostics-color=always -fPIC -MD -MT generic/CMakeFiles/nextpnr-generic-test.dir/viaduct/fabulous/fabulous.cc.o -MF CMakeFiles/nextpnr-generic-test.dir/viaduct/fabulous/fabulous.cc.o.d -o CMakeFiles/nextpnr-generic-test.dir/viaduct/fabulous/fabulous.cc.o -c /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/generic/viaduct/fabulous/fabulous.cc [ 29%] Building CXX object generic/CMakeFiles/nextpnr-generic-test.dir/__/common/kernel/report.cc.o [ 29%] Building CXX object generic/CMakeFiles/nextpnr-generic.dir/__/common/kernel/log.cc.o cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/generic && /gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/bin/c++ -DARCHNAME=generic -DARCH_GENERIC -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DNEXTPNR_NAMESPACE=nextpnr_generic -DNO_RUST -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -Dnextpnr_generic_EXPORTS -I/gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/include/python3.11 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/generic -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/common -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/frontend/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/json/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/rust/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/json11/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/place/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/route/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/oourafft/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/generic -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui -isystem /gnu/store/r94kayv6y93mj1hgc13k11klha366dsw-eigen-3.4.0/include/eigen3 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtWidgets -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtGui -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtCore -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/lib/qt5/mkspecs/linux-g++ -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -fdiagnostics-color=always -fPIC -MD -MT generic/CMakeFiles/nextpnr-generic.dir/__/common/kernel/command.cc.o -MF CMakeFiles/nextpnr-generic.dir/__/common/kernel/command.cc.o.d -o CMakeFiles/nextpnr-generic.dir/__/common/kernel/command.cc.o -c /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/command.cc cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/generic && /gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/bin/c++ -DARCHNAME=generic -DARCH_GENERIC -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DGTEST_LINKED_AS_SHARED_LIBRARY=1 -DNEXTPNR_NAMESPACE=nextpnr_generic -DNO_RUST -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -Dnextpnr_generic_test_EXPORTS -I/gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/include/python3.11 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/generic/gtest_include_dir -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/generic -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/common -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/frontend/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/json/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/rust/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/json11/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/place/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/route/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/oourafft/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/generic -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui -isystem /gnu/store/r94kayv6y93mj1hgc13k11klha366dsw-eigen-3.4.0/include/eigen3 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtWidgets -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtGui -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtCore -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/lib/qt5/mkspecs/linux-g++ -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -fdiagnostics-color=always -fPIC -MD -MT generic/CMakeFiles/nextpnr-generic-test.dir/viaduct/fabulous/fasm.cc.o -MF CMakeFiles/nextpnr-generic-test.dir/viaduct/fabulous/fasm.cc.o.d -o CMakeFiles/nextpnr-generic-test.dir/viaduct/fabulous/fasm.cc.o -c /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/generic/viaduct/fabulous/fasm.cc [ 31%] Building CXX object generic/CMakeFiles/nextpnr-generic.dir/__/common/kernel/nextpnr_assertions.cc.o [ 31%] Building CXX object generic/CMakeFiles/nextpnr-generic-test.dir/__/common/kernel/nextpnr_types.cc.o cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/generic && /gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/bin/c++ -DARCHNAME=generic -DARCH_GENERIC -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DNEXTPNR_NAMESPACE=nextpnr_generic -DNO_RUST -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -Dnextpnr_generic_EXPORTS -I/gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/include/python3.11 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/generic -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/common -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/frontend/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/json/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/rust/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/json11/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/place/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/route/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/oourafft/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/generic -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui -isystem /gnu/store/r94kayv6y93mj1hgc13k11klha366dsw-eigen-3.4.0/include/eigen3 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtWidgets -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtGui -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtCore -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/lib/qt5/mkspecs/linux-g++ -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -fdiagnostics-color=always -fPIC -MD -MT generic/CMakeFiles/nextpnr-generic.dir/__/common/kernel/context.cc.o -MF CMakeFiles/nextpnr-generic.dir/__/common/kernel/context.cc.o.d -o CMakeFiles/nextpnr-generic.dir/__/common/kernel/context.cc.o -c /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/context.cc [ 31%] Building CXX object generic/CMakeFiles/nextpnr-generic-test.dir/__/common/kernel/pybindings.cc.o [ 31%] Building CXX object generic/CMakeFiles/nextpnr-generic-test.dir/__/common/kernel/nextpnr_namespaces.cc.o cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/generic && /gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/bin/c++ -DARCHNAME=generic -DARCH_GENERIC -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DGTEST_LINKED_AS_SHARED_LIBRARY=1 -DNEXTPNR_NAMESPACE=nextpnr_generic -DNO_RUST -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -Dnextpnr_generic_test_EXPORTS -I/gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/include/python3.11 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/generic/gtest_include_dir -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/generic -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/common -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/frontend/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/json/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/rust/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/json11/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/place/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/route/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/oourafft/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/generic -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui -isystem /gnu/store/r94kayv6y93mj1hgc13k11klha366dsw-eigen-3.4.0/include/eigen3 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtWidgets -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtGui -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtCore -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/lib/qt5/mkspecs/linux-g++ -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -fdiagnostics-color=always -fPIC -MD -MT generic/CMakeFiles/nextpnr-generic-test.dir/viaduct/fabulous/pack.cc.o -MF CMakeFiles/nextpnr-generic-test.dir/viaduct/fabulous/pack.cc.o.d -o CMakeFiles/nextpnr-generic-test.dir/viaduct/fabulous/pack.cc.o -c /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/generic/viaduct/fabulous/pack.cc [ 31%] Building CXX object generic/CMakeFiles/nextpnr-generic-test.dir/__/common/kernel/property.cc.o [ 31%] Building CXX object generic/CMakeFiles/nextpnr-generic.dir/__/common/kernel/nextpnr.cc.o cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/generic && /gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/bin/c++ -DARCHNAME=generic -DARCH_GENERIC -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DNEXTPNR_NAMESPACE=nextpnr_generic -DNO_RUST -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -Dnextpnr_generic_EXPORTS -I/gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/include/python3.11 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/generic -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/common -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/frontend/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/json/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/rust/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/json11/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/place/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/route/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/oourafft/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/generic -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui -isystem /gnu/store/r94kayv6y93mj1hgc13k11klha366dsw-eigen-3.4.0/include/eigen3 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtWidgets -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtGui -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtCore -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/lib/qt5/mkspecs/linux-g++ -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -fdiagnostics-color=always -fPIC -MD -MT generic/CMakeFiles/nextpnr-generic.dir/__/common/kernel/design_utils.cc.o -MF CMakeFiles/nextpnr-generic.dir/__/common/kernel/design_utils.cc.o.d -o CMakeFiles/nextpnr-generic.dir/__/common/kernel/design_utils.cc.o -c /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/design_utils.cc cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/generic && /gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/bin/c++ -DARCHNAME=generic -DARCH_GENERIC -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DGTEST_LINKED_AS_SHARED_LIBRARY=1 -DNEXTPNR_NAMESPACE=nextpnr_generic -DNO_RUST -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -Dnextpnr_generic_test_EXPORTS -I/gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/include/python3.11 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/generic/gtest_include_dir -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/generic -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/common -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/frontend/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/json/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/rust/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/json11/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/place/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/route/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/oourafft/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/generic -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui -isystem /gnu/store/r94kayv6y93mj1hgc13k11klha366dsw-eigen-3.4.0/include/eigen3 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtWidgets -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtGui -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtCore -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/lib/qt5/mkspecs/linux-g++ -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -fdiagnostics-color=always -fPIC -MD -MT generic/CMakeFiles/nextpnr-generic-test.dir/viaduct/fabulous/validity_check.cc.o -MF CMakeFiles/nextpnr-generic-test.dir/viaduct/fabulous/validity_check.cc.o.d -o CMakeFiles/nextpnr-generic-test.dir/viaduct/fabulous/validity_check.cc.o -c /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/generic/viaduct/fabulous/validity_check.cc cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/generic && /gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/bin/c++ -DARCHNAME=generic -DARCH_GENERIC -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DNEXTPNR_NAMESPACE=nextpnr_generic -DNO_RUST -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -Dnextpnr_generic_EXPORTS -I/gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/include/python3.11 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/generic -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/common -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/frontend/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/json/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/rust/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/json11/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/place/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/route/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/oourafft/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/generic -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui -isystem /gnu/store/r94kayv6y93mj1hgc13k11klha366dsw-eigen-3.4.0/include/eigen3 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtWidgets -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtGui -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtCore -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/lib/qt5/mkspecs/linux-g++ -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -fdiagnostics-color=always -fPIC -MD -MT generic/CMakeFiles/nextpnr-generic.dir/__/common/kernel/embed.cc.o -MF CMakeFiles/nextpnr-generic.dir/__/common/kernel/embed.cc.o.d -o CMakeFiles/nextpnr-generic.dir/__/common/kernel/embed.cc.o -c /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/embed.cc cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/generic && /gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/bin/c++ -DARCHNAME=generic -DARCH_GENERIC -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DGTEST_LINKED_AS_SHARED_LIBRARY=1 -DNEXTPNR_NAMESPACE=nextpnr_generic -DNO_RUST -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -Dnextpnr_generic_test_EXPORTS -I/gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/include/python3.11 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/generic/gtest_include_dir -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/generic -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/common -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/frontend/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/json/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/rust/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/json11/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/place/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/route/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/oourafft/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/generic -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui -isystem /gnu/store/r94kayv6y93mj1hgc13k11klha366dsw-eigen-3.4.0/include/eigen3 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtWidgets -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtGui -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtCore -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/lib/qt5/mkspecs/linux-g++ -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -fdiagnostics-color=always -fPIC -MD -MT generic/CMakeFiles/nextpnr-generic-test.dir/__/common/kernel/archcheck.cc.o -MF CMakeFiles/nextpnr-generic-test.dir/__/common/kernel/archcheck.cc.o.d -o CMakeFiles/nextpnr-generic-test.dir/__/common/kernel/archcheck.cc.o -c /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/archcheck.cc [ 31%] Building CXX object generic/CMakeFiles/nextpnr-generic.dir/__/common/kernel/nextpnr_namespaces.cc.o [ 31%] Building CXX object generic/CMakeFiles/nextpnr-generic.dir/__/common/kernel/nextpnr_types.cc.o cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/generic && /gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/bin/c++ -DARCHNAME=generic -DARCH_GENERIC -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DNEXTPNR_NAMESPACE=nextpnr_generic -DNO_RUST -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -Dnextpnr_generic_EXPORTS -I/gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/include/python3.11 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/generic -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/common -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/frontend/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/json/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/rust/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/json11/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/place/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/route/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/oourafft/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/generic -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui -isystem /gnu/store/r94kayv6y93mj1hgc13k11klha366dsw-eigen-3.4.0/include/eigen3 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtWidgets -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtGui -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtCore -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/lib/qt5/mkspecs/linux-g++ -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -fdiagnostics-color=always -fPIC -MD -MT generic/CMakeFiles/nextpnr-generic.dir/__/common/kernel/handle_error.cc.o -MF CMakeFiles/nextpnr-generic.dir/__/common/kernel/handle_error.cc.o.d -o CMakeFiles/nextpnr-generic.dir/__/common/kernel/handle_error.cc.o -c /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/handle_error.cc cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/generic && /gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/bin/c++ -DARCHNAME=generic -DARCH_GENERIC -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DNEXTPNR_NAMESPACE=nextpnr_generic -DNO_RUST -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -Dnextpnr_generic_EXPORTS -I/gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/include/python3.11 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/generic -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/common -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/frontend/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/json/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/rust/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/json11/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/place/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/route/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/oourafft/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/generic -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui -isystem /gnu/store/r94kayv6y93mj1hgc13k11klha366dsw-eigen-3.4.0/include/eigen3 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtWidgets -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtGui -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtCore -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/lib/qt5/mkspecs/linux-g++ -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -fdiagnostics-color=always -fPIC -MD -MT generic/CMakeFiles/nextpnr-generic.dir/__/common/kernel/idstring.cc.o -MF CMakeFiles/nextpnr-generic.dir/__/common/kernel/idstring.cc.o.d -o CMakeFiles/nextpnr-generic.dir/__/common/kernel/idstring.cc.o -c /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/idstring.cc cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/generic && /gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/bin/c++ -DARCHNAME=generic -DARCH_GENERIC -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DGTEST_LINKED_AS_SHARED_LIBRARY=1 -DNEXTPNR_NAMESPACE=nextpnr_generic -DNO_RUST -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -Dnextpnr_generic_test_EXPORTS -I/gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/include/python3.11 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/generic/gtest_include_dir -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/generic -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/common -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/frontend/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/json/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/rust/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/json11/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/place/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/route/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/oourafft/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/generic -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui -isystem /gnu/store/r94kayv6y93mj1hgc13k11klha366dsw-eigen-3.4.0/include/eigen3 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtWidgets -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtGui -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtCore -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/lib/qt5/mkspecs/linux-g++ -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -fdiagnostics-color=always -fPIC -MD -MT generic/CMakeFiles/nextpnr-generic-test.dir/__/common/kernel/basectx.cc.o -MF CMakeFiles/nextpnr-generic-test.dir/__/common/kernel/basectx.cc.o.d -o CMakeFiles/nextpnr-generic-test.dir/__/common/kernel/basectx.cc.o -c /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/basectx.cc [ 31%] Building CXX object generic/CMakeFiles/nextpnr-generic-test.dir/__/common/kernel/sdc.cc.o cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/generic && /gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/bin/c++ -DARCHNAME=generic -DARCH_GENERIC -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DNEXTPNR_NAMESPACE=nextpnr_generic -DNO_RUST -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -Dnextpnr_generic_EXPORTS -I/gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/include/python3.11 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/generic -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/common -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/frontend/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/json/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/rust/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/json11/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/place/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/route/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/oourafft/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/generic -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui -isystem /gnu/store/r94kayv6y93mj1hgc13k11klha366dsw-eigen-3.4.0/include/eigen3 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtWidgets -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtGui -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtCore -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/lib/qt5/mkspecs/linux-g++ -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -fdiagnostics-color=always -fPIC -MD -MT generic/CMakeFiles/nextpnr-generic.dir/__/common/kernel/idstringlist.cc.o -MF CMakeFiles/nextpnr-generic.dir/__/common/kernel/idstringlist.cc.o.d -o CMakeFiles/nextpnr-generic.dir/__/common/kernel/idstringlist.cc.o -c /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/idstringlist.cc cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/generic && /gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/bin/c++ -DARCHNAME=generic -DARCH_GENERIC -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DNEXTPNR_NAMESPACE=nextpnr_generic -DNO_RUST -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -Dnextpnr_generic_EXPORTS -I/gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/include/python3.11 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/generic -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/common -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/frontend/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/json/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/rust/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/json11/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/place/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/route/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/oourafft/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/generic -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui -isystem /gnu/store/r94kayv6y93mj1hgc13k11klha366dsw-eigen-3.4.0/include/eigen3 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtWidgets -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtGui -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtCore -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/lib/qt5/mkspecs/linux-g++ -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -fdiagnostics-color=always -fPIC -MD -MT generic/CMakeFiles/nextpnr-generic.dir/__/common/kernel/log.cc.o -MF CMakeFiles/nextpnr-generic.dir/__/common/kernel/log.cc.o.d -o CMakeFiles/nextpnr-generic.dir/__/common/kernel/log.cc.o -c /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/log.cc [ 31%] Building CXX object generic/CMakeFiles/nextpnr-generic.dir/__/common/kernel/property.cc.o [ 31%] Building CXX object generic/CMakeFiles/nextpnr-generic-test.dir/__/common/kernel/sdf.cc.o cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/generic && /gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/bin/c++ -DARCHNAME=generic -DARCH_GENERIC -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DGTEST_LINKED_AS_SHARED_LIBRARY=1 -DNEXTPNR_NAMESPACE=nextpnr_generic -DNO_RUST -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -Dnextpnr_generic_test_EXPORTS -I/gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/include/python3.11 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/generic/gtest_include_dir -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/generic -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/common -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/frontend/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/json/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/rust/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/json11/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/place/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/route/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/oourafft/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/generic -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui -isystem /gnu/store/r94kayv6y93mj1hgc13k11klha366dsw-eigen-3.4.0/include/eigen3 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtWidgets -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtGui -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtCore -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/lib/qt5/mkspecs/linux-g++ -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -fdiagnostics-color=always -fPIC -MD -MT generic/CMakeFiles/nextpnr-generic-test.dir/__/common/kernel/bits.cc.o -MF CMakeFiles/nextpnr-generic-test.dir/__/common/kernel/bits.cc.o.d -o CMakeFiles/nextpnr-generic-test.dir/__/common/kernel/bits.cc.o -c /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/bits.cc cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/generic && /gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/bin/c++ -DARCHNAME=generic -DARCH_GENERIC -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DNEXTPNR_NAMESPACE=nextpnr_generic -DNO_RUST -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -Dnextpnr_generic_EXPORTS -I/gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/include/python3.11 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/generic -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/common -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/frontend/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/json/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/rust/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/json11/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/place/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/route/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/oourafft/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/generic -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui -isystem /gnu/store/r94kayv6y93mj1hgc13k11klha366dsw-eigen-3.4.0/include/eigen3 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtWidgets -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtGui -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtCore -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/lib/qt5/mkspecs/linux-g++ -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -fdiagnostics-color=always -fPIC -MD -MT generic/CMakeFiles/nextpnr-generic.dir/__/common/kernel/nextpnr_assertions.cc.o -MF CMakeFiles/nextpnr-generic.dir/__/common/kernel/nextpnr_assertions.cc.o.d -o CMakeFiles/nextpnr-generic.dir/__/common/kernel/nextpnr_assertions.cc.o -c /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/nextpnr_assertions.cc [ 31%] Building CXX object generic/CMakeFiles/nextpnr-generic-test.dir/__/common/kernel/str_ring_buffer.cc.o cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/generic && /gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/bin/c++ -DARCHNAME=generic -DARCH_GENERIC -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DGTEST_LINKED_AS_SHARED_LIBRARY=1 -DNEXTPNR_NAMESPACE=nextpnr_generic -DNO_RUST -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -Dnextpnr_generic_test_EXPORTS -I/gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/include/python3.11 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/generic/gtest_include_dir -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/generic -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/common -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/frontend/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/json/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/rust/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/json11/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/place/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/route/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/oourafft/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/generic -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui -isystem /gnu/store/r94kayv6y93mj1hgc13k11klha366dsw-eigen-3.4.0/include/eigen3 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtWidgets -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtGui -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtCore -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/lib/qt5/mkspecs/linux-g++ -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -fdiagnostics-color=always -fPIC -MD -MT generic/CMakeFiles/nextpnr-generic-test.dir/__/common/kernel/command.cc.o -MF CMakeFiles/nextpnr-generic-test.dir/__/common/kernel/command.cc.o.d -o CMakeFiles/nextpnr-generic-test.dir/__/common/kernel/command.cc.o -c /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/command.cc [ 31%] Building CXX object generic/CMakeFiles/nextpnr-generic-test.dir/__/common/kernel/nextpnr_assertions.cc.o cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/generic && /gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/bin/c++ -DARCHNAME=generic -DARCH_GENERIC -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DNEXTPNR_NAMESPACE=nextpnr_generic -DNO_RUST -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -Dnextpnr_generic_EXPORTS -I/gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/include/python3.11 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/generic -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/common -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/frontend/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/json/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/rust/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/json11/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/place/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/route/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/oourafft/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/generic -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui -isystem /gnu/store/r94kayv6y93mj1hgc13k11klha366dsw-eigen-3.4.0/include/eigen3 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtWidgets -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtGui -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtCore -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/lib/qt5/mkspecs/linux-g++ -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -fdiagnostics-color=always -fPIC -MD -MT generic/CMakeFiles/nextpnr-generic.dir/__/common/kernel/nextpnr.cc.o -MF CMakeFiles/nextpnr-generic.dir/__/common/kernel/nextpnr.cc.o.d -o CMakeFiles/nextpnr-generic.dir/__/common/kernel/nextpnr.cc.o -c /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/nextpnr.cc cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/generic && /gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/bin/c++ -DARCHNAME=generic -DARCH_GENERIC -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DGTEST_LINKED_AS_SHARED_LIBRARY=1 -DNEXTPNR_NAMESPACE=nextpnr_generic -DNO_RUST -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -Dnextpnr_generic_test_EXPORTS -I/gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/include/python3.11 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/generic/gtest_include_dir -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/generic -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/common -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/frontend/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/json/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/rust/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/json11/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/place/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/route/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/oourafft/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/generic -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui -isystem /gnu/store/r94kayv6y93mj1hgc13k11klha366dsw-eigen-3.4.0/include/eigen3 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtWidgets -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtGui -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtCore -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/lib/qt5/mkspecs/linux-g++ -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -fdiagnostics-color=always -fPIC -MD -MT generic/CMakeFiles/nextpnr-generic-test.dir/__/common/kernel/context.cc.o -MF CMakeFiles/nextpnr-generic-test.dir/__/common/kernel/context.cc.o.d -o CMakeFiles/nextpnr-generic-test.dir/__/common/kernel/context.cc.o -c /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/context.cc cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/generic && /gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/bin/c++ -DARCHNAME=generic -DARCH_GENERIC -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DNEXTPNR_NAMESPACE=nextpnr_generic -DNO_RUST -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -Dnextpnr_generic_EXPORTS -I/gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/include/python3.11 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/generic -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/common -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/frontend/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/json/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/rust/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/json11/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/place/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/route/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/oourafft/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/generic -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui -isystem /gnu/store/r94kayv6y93mj1hgc13k11klha366dsw-eigen-3.4.0/include/eigen3 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtWidgets -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtGui -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtCore -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/lib/qt5/mkspecs/linux-g++ -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -fdiagnostics-color=always -fPIC -MD -MT generic/CMakeFiles/nextpnr-generic.dir/__/common/kernel/nextpnr_namespaces.cc.o -MF CMakeFiles/nextpnr-generic.dir/__/common/kernel/nextpnr_namespaces.cc.o.d -o CMakeFiles/nextpnr-generic.dir/__/common/kernel/nextpnr_namespaces.cc.o -c /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/nextpnr_namespaces.cc cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/generic && /gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/bin/c++ -DARCHNAME=generic -DARCH_GENERIC -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DGTEST_LINKED_AS_SHARED_LIBRARY=1 -DNEXTPNR_NAMESPACE=nextpnr_generic -DNO_RUST -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -Dnextpnr_generic_test_EXPORTS -I/gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/include/python3.11 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/generic/gtest_include_dir -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/generic -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/common -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/frontend/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/json/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/rust/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/json11/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/place/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/route/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/oourafft/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/generic -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui -isystem /gnu/store/r94kayv6y93mj1hgc13k11klha366dsw-eigen-3.4.0/include/eigen3 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtWidgets -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtGui -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtCore -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/lib/qt5/mkspecs/linux-g++ -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -fdiagnostics-color=always -fPIC -MD -MT generic/CMakeFiles/nextpnr-generic-test.dir/__/common/kernel/design_utils.cc.o -MF CMakeFiles/nextpnr-generic-test.dir/__/common/kernel/design_utils.cc.o.d -o CMakeFiles/nextpnr-generic-test.dir/__/common/kernel/design_utils.cc.o -c /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/design_utils.cc cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/generic && /gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/bin/c++ -DARCHNAME=generic -DARCH_GENERIC -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DNEXTPNR_NAMESPACE=nextpnr_generic -DNO_RUST -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -Dnextpnr_generic_EXPORTS -I/gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/include/python3.11 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/generic -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/common -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/frontend/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/json/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/rust/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/json11/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/place/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/route/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/oourafft/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/generic -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui -isystem /gnu/store/r94kayv6y93mj1hgc13k11klha366dsw-eigen-3.4.0/include/eigen3 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtWidgets -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtGui -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtCore -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/lib/qt5/mkspecs/linux-g++ -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -fdiagnostics-color=always -fPIC -MD -MT generic/CMakeFiles/nextpnr-generic.dir/__/common/kernel/nextpnr_types.cc.o -MF CMakeFiles/nextpnr-generic.dir/__/common/kernel/nextpnr_types.cc.o.d -o CMakeFiles/nextpnr-generic.dir/__/common/kernel/nextpnr_types.cc.o -c /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/nextpnr_types.cc cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/generic && /gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/bin/c++ -DARCHNAME=generic -DARCH_GENERIC -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DNEXTPNR_NAMESPACE=nextpnr_generic -DNO_RUST -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -Dnextpnr_generic_EXPORTS -I/gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/include/python3.11 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/generic -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/common -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/frontend/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/json/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/rust/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/json11/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/place/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/route/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/oourafft/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/generic -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui -isystem /gnu/store/r94kayv6y93mj1hgc13k11klha366dsw-eigen-3.4.0/include/eigen3 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtWidgets -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtGui -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtCore -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/lib/qt5/mkspecs/linux-g++ -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -fdiagnostics-color=always -fPIC -MD -MT generic/CMakeFiles/nextpnr-generic.dir/__/common/kernel/property.cc.o -MF CMakeFiles/nextpnr-generic.dir/__/common/kernel/property.cc.o.d -o CMakeFiles/nextpnr-generic.dir/__/common/kernel/property.cc.o -c /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/property.cc cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/generic && /gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/bin/c++ -DARCHNAME=generic -DARCH_GENERIC -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DGTEST_LINKED_AS_SHARED_LIBRARY=1 -DNEXTPNR_NAMESPACE=nextpnr_generic -DNO_RUST -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -Dnextpnr_generic_test_EXPORTS -I/gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/include/python3.11 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/generic/gtest_include_dir -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/generic -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/common -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/frontend/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/json/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/rust/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/json11/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/place/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/route/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/oourafft/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/generic -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui -isystem /gnu/store/r94kayv6y93mj1hgc13k11klha366dsw-eigen-3.4.0/include/eigen3 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtWidgets -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtGui -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtCore -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/lib/qt5/mkspecs/linux-g++ -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -fdiagnostics-color=always -fPIC -MD -MT generic/CMakeFiles/nextpnr-generic-test.dir/__/common/kernel/embed.cc.o -MF CMakeFiles/nextpnr-generic-test.dir/__/common/kernel/embed.cc.o.d -o CMakeFiles/nextpnr-generic-test.dir/__/common/kernel/embed.cc.o -c /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/embed.cc cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/generic && /gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/bin/c++ -DARCHNAME=generic -DARCH_GENERIC -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DGTEST_LINKED_AS_SHARED_LIBRARY=1 -DNEXTPNR_NAMESPACE=nextpnr_generic -DNO_RUST -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -Dnextpnr_generic_test_EXPORTS -I/gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/include/python3.11 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/generic/gtest_include_dir -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/generic -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/common -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/frontend/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/json/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/rust/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/json11/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/place/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/route/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/oourafft/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/generic -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui -isystem /gnu/store/r94kayv6y93mj1hgc13k11klha366dsw-eigen-3.4.0/include/eigen3 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtWidgets -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtGui -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtCore -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/lib/qt5/mkspecs/linux-g++ -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -fdiagnostics-color=always -fPIC -MD -MT generic/CMakeFiles/nextpnr-generic-test.dir/__/common/kernel/handle_error.cc.o -MF CMakeFiles/nextpnr-generic-test.dir/__/common/kernel/handle_error.cc.o.d -o CMakeFiles/nextpnr-generic-test.dir/__/common/kernel/handle_error.cc.o -c /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/handle_error.cc [ 31%] Building CXX object generic/CMakeFiles/nextpnr-generic.dir/__/common/kernel/pybindings.cc.o cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/generic && /gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/bin/c++ -DARCHNAME=generic -DARCH_GENERIC -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DGTEST_LINKED_AS_SHARED_LIBRARY=1 -DNEXTPNR_NAMESPACE=nextpnr_generic -DNO_RUST -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -Dnextpnr_generic_test_EXPORTS -I/gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/include/python3.11 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/generic/gtest_include_dir -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/generic -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/common -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/frontend/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/json/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/rust/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/json11/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/place/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/route/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/oourafft/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/generic -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui -isystem /gnu/store/r94kayv6y93mj1hgc13k11klha366dsw-eigen-3.4.0/include/eigen3 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtWidgets -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtGui -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtCore -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/lib/qt5/mkspecs/linux-g++ -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -fdiagnostics-color=always -fPIC -MD -MT generic/CMakeFiles/nextpnr-generic-test.dir/__/common/kernel/idstring.cc.o -MF CMakeFiles/nextpnr-generic-test.dir/__/common/kernel/idstring.cc.o.d -o CMakeFiles/nextpnr-generic-test.dir/__/common/kernel/idstring.cc.o -c /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/idstring.cc cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/generic && /gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/bin/c++ -DARCHNAME=generic -DARCH_GENERIC -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DGTEST_LINKED_AS_SHARED_LIBRARY=1 -DNEXTPNR_NAMESPACE=nextpnr_generic -DNO_RUST -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -Dnextpnr_generic_test_EXPORTS -I/gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/include/python3.11 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/generic/gtest_include_dir -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/generic -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/common -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/frontend/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/json/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/rust/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/json11/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/place/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/route/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/oourafft/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/generic -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui -isystem /gnu/store/r94kayv6y93mj1hgc13k11klha366dsw-eigen-3.4.0/include/eigen3 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtWidgets -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtGui -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtCore -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/lib/qt5/mkspecs/linux-g++ -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -fdiagnostics-color=always -fPIC -MD -MT generic/CMakeFiles/nextpnr-generic-test.dir/__/common/kernel/idstringlist.cc.o -MF CMakeFiles/nextpnr-generic-test.dir/__/common/kernel/idstringlist.cc.o.d -o CMakeFiles/nextpnr-generic-test.dir/__/common/kernel/idstringlist.cc.o -c /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/idstringlist.cc [ 31%] Building CXX object generic/CMakeFiles/nextpnr-generic.dir/__/common/kernel/report.cc.o cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/generic && /gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/bin/c++ -DARCHNAME=generic -DARCH_GENERIC -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DGTEST_LINKED_AS_SHARED_LIBRARY=1 -DNEXTPNR_NAMESPACE=nextpnr_generic -DNO_RUST -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -Dnextpnr_generic_test_EXPORTS -I/gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/include/python3.11 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/generic/gtest_include_dir -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/generic -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/common -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/frontend/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/json/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/rust/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/json11/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/place/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/route/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/oourafft/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/generic -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui -isystem /gnu/store/r94kayv6y93mj1hgc13k11klha366dsw-eigen-3.4.0/include/eigen3 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtWidgets -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtGui -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtCore -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/lib/qt5/mkspecs/linux-g++ -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -fdiagnostics-color=always -fPIC -MD -MT generic/CMakeFiles/nextpnr-generic-test.dir/__/common/kernel/log.cc.o -MF CMakeFiles/nextpnr-generic-test.dir/__/common/kernel/log.cc.o.d -o CMakeFiles/nextpnr-generic-test.dir/__/common/kernel/log.cc.o -c /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/log.cc cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/generic && /gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/bin/c++ -DARCHNAME=generic -DARCH_GENERIC -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DGTEST_LINKED_AS_SHARED_LIBRARY=1 -DNEXTPNR_NAMESPACE=nextpnr_generic -DNO_RUST -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -Dnextpnr_generic_test_EXPORTS -I/gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/include/python3.11 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/generic/gtest_include_dir -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/generic -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/common -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/frontend/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/json/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/rust/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/json11/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/place/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/route/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/oourafft/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/generic -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui -isystem /gnu/store/r94kayv6y93mj1hgc13k11klha366dsw-eigen-3.4.0/include/eigen3 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtWidgets -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtGui -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtCore -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/lib/qt5/mkspecs/linux-g++ -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -fdiagnostics-color=always -fPIC -MD -MT generic/CMakeFiles/nextpnr-generic-test.dir/__/common/kernel/nextpnr_assertions.cc.o -MF CMakeFiles/nextpnr-generic-test.dir/__/common/kernel/nextpnr_assertions.cc.o.d -o CMakeFiles/nextpnr-generic-test.dir/__/common/kernel/nextpnr_assertions.cc.o -c /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/nextpnr_assertions.cc cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/generic && /gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/bin/c++ -DARCHNAME=generic -DARCH_GENERIC -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DNEXTPNR_NAMESPACE=nextpnr_generic -DNO_RUST -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -Dnextpnr_generic_EXPORTS -I/gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/include/python3.11 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/generic -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/common -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/frontend/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/json/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/rust/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/json11/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/place/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/route/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/oourafft/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/generic -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui -isystem /gnu/store/r94kayv6y93mj1hgc13k11klha366dsw-eigen-3.4.0/include/eigen3 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtWidgets -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtGui -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtCore -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/lib/qt5/mkspecs/linux-g++ -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -fdiagnostics-color=always -fPIC -MD -MT generic/CMakeFiles/nextpnr-generic.dir/__/common/kernel/pybindings.cc.o -MF CMakeFiles/nextpnr-generic.dir/__/common/kernel/pybindings.cc.o.d -o CMakeFiles/nextpnr-generic.dir/__/common/kernel/pybindings.cc.o -c /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/pybindings.cc cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/generic && /gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/bin/c++ -DARCHNAME=generic -DARCH_GENERIC -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DGTEST_LINKED_AS_SHARED_LIBRARY=1 -DNEXTPNR_NAMESPACE=nextpnr_generic -DNO_RUST -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -Dnextpnr_generic_test_EXPORTS -I/gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/include/python3.11 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/generic/gtest_include_dir -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/generic -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/common -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/frontend/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/json/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/rust/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/json11/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/place/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/route/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/oourafft/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/generic -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui -isystem /gnu/store/r94kayv6y93mj1hgc13k11klha366dsw-eigen-3.4.0/include/eigen3 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtWidgets -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtGui -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtCore -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/lib/qt5/mkspecs/linux-g++ -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -fdiagnostics-color=always -fPIC -MD -MT generic/CMakeFiles/nextpnr-generic-test.dir/__/common/kernel/nextpnr.cc.o -MF CMakeFiles/nextpnr-generic-test.dir/__/common/kernel/nextpnr.cc.o.d -o CMakeFiles/nextpnr-generic-test.dir/__/common/kernel/nextpnr.cc.o -c /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/nextpnr.cc cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/generic && /gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/bin/c++ -DARCHNAME=generic -DARCH_GENERIC -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DGTEST_LINKED_AS_SHARED_LIBRARY=1 -DNEXTPNR_NAMESPACE=nextpnr_generic -DNO_RUST -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -Dnextpnr_generic_test_EXPORTS -I/gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/include/python3.11 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/generic/gtest_include_dir -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/generic -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/common -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/frontend/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/json/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/rust/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/json11/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/place/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/route/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/oourafft/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/generic -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui -isystem /gnu/store/r94kayv6y93mj1hgc13k11klha366dsw-eigen-3.4.0/include/eigen3 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtWidgets -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtGui -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtCore -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/lib/qt5/mkspecs/linux-g++ -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -fdiagnostics-color=always -fPIC -MD -MT generic/CMakeFiles/nextpnr-generic-test.dir/__/common/kernel/nextpnr_namespaces.cc.o -MF CMakeFiles/nextpnr-generic-test.dir/__/common/kernel/nextpnr_namespaces.cc.o.d -o CMakeFiles/nextpnr-generic-test.dir/__/common/kernel/nextpnr_namespaces.cc.o -c /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/nextpnr_namespaces.cc cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/generic && /gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/bin/c++ -DARCHNAME=generic -DARCH_GENERIC -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DGTEST_LINKED_AS_SHARED_LIBRARY=1 -DNEXTPNR_NAMESPACE=nextpnr_generic -DNO_RUST -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -Dnextpnr_generic_test_EXPORTS -I/gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/include/python3.11 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/generic/gtest_include_dir -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/generic -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/common -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/frontend/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/json/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/rust/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/json11/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/place/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/route/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/oourafft/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/generic -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui -isystem /gnu/store/r94kayv6y93mj1hgc13k11klha366dsw-eigen-3.4.0/include/eigen3 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtWidgets -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtGui -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtCore -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/lib/qt5/mkspecs/linux-g++ -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -fdiagnostics-color=always -fPIC -MD -MT generic/CMakeFiles/nextpnr-generic-test.dir/__/common/kernel/nextpnr_types.cc.o -MF CMakeFiles/nextpnr-generic-test.dir/__/common/kernel/nextpnr_types.cc.o.d -o CMakeFiles/nextpnr-generic-test.dir/__/common/kernel/nextpnr_types.cc.o -c /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/nextpnr_types.cc cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/generic && /gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/bin/c++ -DARCHNAME=generic -DARCH_GENERIC -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DNEXTPNR_NAMESPACE=nextpnr_generic -DNO_RUST -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -Dnextpnr_generic_EXPORTS -I/gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/include/python3.11 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/generic -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/common -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/frontend/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/json/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/rust/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/json11/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/place/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/route/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/oourafft/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/generic -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui -isystem /gnu/store/r94kayv6y93mj1hgc13k11klha366dsw-eigen-3.4.0/include/eigen3 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtWidgets -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtGui -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtCore -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/lib/qt5/mkspecs/linux-g++ -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -fdiagnostics-color=always -fPIC -MD -MT generic/CMakeFiles/nextpnr-generic.dir/__/common/kernel/report.cc.o -MF CMakeFiles/nextpnr-generic.dir/__/common/kernel/report.cc.o.d -o CMakeFiles/nextpnr-generic.dir/__/common/kernel/report.cc.o -c /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/report.cc cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/generic && /gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/bin/c++ -DARCHNAME=generic -DARCH_GENERIC -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DGTEST_LINKED_AS_SHARED_LIBRARY=1 -DNEXTPNR_NAMESPACE=nextpnr_generic -DNO_RUST -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -Dnextpnr_generic_test_EXPORTS -I/gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/include/python3.11 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/generic/gtest_include_dir -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/generic -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/common -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/frontend/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/json/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/rust/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/json11/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/place/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/route/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/oourafft/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/generic -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui -isystem /gnu/store/r94kayv6y93mj1hgc13k11klha366dsw-eigen-3.4.0/include/eigen3 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtWidgets -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtGui -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtCore -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/lib/qt5/mkspecs/linux-g++ -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -fdiagnostics-color=always -fPIC -MD -MT generic/CMakeFiles/nextpnr-generic-test.dir/__/common/kernel/property.cc.o -MF CMakeFiles/nextpnr-generic-test.dir/__/common/kernel/property.cc.o.d -o CMakeFiles/nextpnr-generic-test.dir/__/common/kernel/property.cc.o -c /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/property.cc cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/generic && /gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/bin/c++ -DARCHNAME=generic -DARCH_GENERIC -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DGTEST_LINKED_AS_SHARED_LIBRARY=1 -DNEXTPNR_NAMESPACE=nextpnr_generic -DNO_RUST -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -Dnextpnr_generic_test_EXPORTS -I/gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/include/python3.11 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/generic/gtest_include_dir -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/generic -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/common -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/frontend/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/json/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/rust/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/json11/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/place/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/route/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/oourafft/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/generic -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui -isystem /gnu/store/r94kayv6y93mj1hgc13k11klha366dsw-eigen-3.4.0/include/eigen3 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtWidgets -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtGui -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtCore -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/lib/qt5/mkspecs/linux-g++ -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -fdiagnostics-color=always -fPIC -MD -MT generic/CMakeFiles/nextpnr-generic-test.dir/__/common/kernel/pybindings.cc.o -MF CMakeFiles/nextpnr-generic-test.dir/__/common/kernel/pybindings.cc.o.d -o CMakeFiles/nextpnr-generic-test.dir/__/common/kernel/pybindings.cc.o -c /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/pybindings.cc cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/generic && /gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/bin/c++ -DARCHNAME=generic -DARCH_GENERIC -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DGTEST_LINKED_AS_SHARED_LIBRARY=1 -DNEXTPNR_NAMESPACE=nextpnr_generic -DNO_RUST -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -Dnextpnr_generic_test_EXPORTS -I/gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/include/python3.11 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/generic/gtest_include_dir -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/generic -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/common -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/frontend/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/json/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/rust/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/json11/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/place/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/route/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/oourafft/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/generic -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui -isystem /gnu/store/r94kayv6y93mj1hgc13k11klha366dsw-eigen-3.4.0/include/eigen3 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtWidgets -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtGui -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtCore -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/lib/qt5/mkspecs/linux-g++ -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -fdiagnostics-color=always -fPIC -MD -MT generic/CMakeFiles/nextpnr-generic-test.dir/__/common/kernel/report.cc.o -MF CMakeFiles/nextpnr-generic-test.dir/__/common/kernel/report.cc.o.d -o CMakeFiles/nextpnr-generic-test.dir/__/common/kernel/report.cc.o -c /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/report.cc cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/generic && /gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/bin/c++ -DARCHNAME=generic -DARCH_GENERIC -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DGTEST_LINKED_AS_SHARED_LIBRARY=1 -DNEXTPNR_NAMESPACE=nextpnr_generic -DNO_RUST -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -Dnextpnr_generic_test_EXPORTS -I/gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/include/python3.11 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/generic/gtest_include_dir -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/generic -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/common -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/frontend/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/json/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/rust/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/json11/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/place/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/route/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/oourafft/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/generic -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui -isystem /gnu/store/r94kayv6y93mj1hgc13k11klha366dsw-eigen-3.4.0/include/eigen3 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtWidgets -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtGui -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtCore -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/lib/qt5/mkspecs/linux-g++ -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -fdiagnostics-color=always -fPIC -MD -MT generic/CMakeFiles/nextpnr-generic-test.dir/__/common/kernel/sdc.cc.o -MF CMakeFiles/nextpnr-generic-test.dir/__/common/kernel/sdc.cc.o.d -o CMakeFiles/nextpnr-generic-test.dir/__/common/kernel/sdc.cc.o -c /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/sdc.cc cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/generic && /gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/bin/c++ -DARCHNAME=generic -DARCH_GENERIC -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DGTEST_LINKED_AS_SHARED_LIBRARY=1 -DNEXTPNR_NAMESPACE=nextpnr_generic -DNO_RUST -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -Dnextpnr_generic_test_EXPORTS -I/gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/include/python3.11 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/generic/gtest_include_dir -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/generic -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/common -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/frontend/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/json/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/rust/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/json11/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/place/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/route/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/oourafft/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/generic -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui -isystem /gnu/store/r94kayv6y93mj1hgc13k11klha366dsw-eigen-3.4.0/include/eigen3 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtWidgets -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtGui -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtCore -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/lib/qt5/mkspecs/linux-g++ -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -fdiagnostics-color=always -fPIC -MD -MT generic/CMakeFiles/nextpnr-generic-test.dir/__/common/kernel/sdf.cc.o -MF CMakeFiles/nextpnr-generic-test.dir/__/common/kernel/sdf.cc.o.d -o CMakeFiles/nextpnr-generic-test.dir/__/common/kernel/sdf.cc.o -c /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/sdf.cc cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/generic && /gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/bin/c++ -DARCHNAME=generic -DARCH_GENERIC -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DGTEST_LINKED_AS_SHARED_LIBRARY=1 -DNEXTPNR_NAMESPACE=nextpnr_generic -DNO_RUST -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -Dnextpnr_generic_test_EXPORTS -I/gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/include/python3.11 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/generic/gtest_include_dir -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/generic -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/common -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/frontend/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/json/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/rust/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/json11/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/place/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/route/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/oourafft/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/generic -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui -isystem /gnu/store/r94kayv6y93mj1hgc13k11klha366dsw-eigen-3.4.0/include/eigen3 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtWidgets -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtGui -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtCore -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/lib/qt5/mkspecs/linux-g++ -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -fdiagnostics-color=always -fPIC -MD -MT generic/CMakeFiles/nextpnr-generic-test.dir/__/common/kernel/str_ring_buffer.cc.o -MF CMakeFiles/nextpnr-generic-test.dir/__/common/kernel/str_ring_buffer.cc.o.d -o CMakeFiles/nextpnr-generic-test.dir/__/common/kernel/str_ring_buffer.cc.o -c /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/str_ring_buffer.cc [ 32%] Building CXX object generic/CMakeFiles/nextpnr-generic.dir/__/common/kernel/str_ring_buffer.cc.o [ 32%] Building CXX object generic/CMakeFiles/nextpnr-generic.dir/__/common/kernel/sdf.cc.o [ 32%] Building CXX object generic/CMakeFiles/nextpnr-generic.dir/__/common/kernel/sdc.cc.o [ 32%] Building CXX object generic/CMakeFiles/nextpnr-generic.dir/__/common/kernel/timing.cc.o [ 32%] Building CXX object generic/CMakeFiles/nextpnr-generic.dir/__/frontend/json_frontend.cc.o [ 32%] Building CXX object generic/CMakeFiles/nextpnr-generic.dir/__/common/kernel/svg.cc.o [ 32%] Building CXX object generic/CMakeFiles/nextpnr-generic.dir/__/json/jsonwrite.cc.o [ 32%] Building CXX object generic/CMakeFiles/nextpnr-generic.dir/__/common/kernel/timing_log.cc.o cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/generic && /gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/bin/c++ -DARCHNAME=generic -DARCH_GENERIC -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DNEXTPNR_NAMESPACE=nextpnr_generic -DNO_RUST -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -Dnextpnr_generic_EXPORTS -I/gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/include/python3.11 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/generic -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/common -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/frontend/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/json/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/rust/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/json11/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/place/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/route/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/oourafft/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/generic -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui -isystem /gnu/store/r94kayv6y93mj1hgc13k11klha366dsw-eigen-3.4.0/include/eigen3 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtWidgets -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtGui -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtCore -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/lib/qt5/mkspecs/linux-g++ -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -fdiagnostics-color=always -fPIC -MD -MT generic/CMakeFiles/nextpnr-generic.dir/__/common/kernel/sdf.cc.o -MF CMakeFiles/nextpnr-generic.dir/__/common/kernel/sdf.cc.o.d -o CMakeFiles/nextpnr-generic.dir/__/common/kernel/sdf.cc.o -c /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/sdf.cc cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/generic && /gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/bin/c++ -DARCHNAME=generic -DARCH_GENERIC -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DNEXTPNR_NAMESPACE=nextpnr_generic -DNO_RUST -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -Dnextpnr_generic_EXPORTS -I/gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/include/python3.11 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/generic -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/common -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/frontend/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/json/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/rust/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/json11/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/place/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/route/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/oourafft/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/generic -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui -isystem /gnu/store/r94kayv6y93mj1hgc13k11klha366dsw-eigen-3.4.0/include/eigen3 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtWidgets -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtGui -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtCore -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/lib/qt5/mkspecs/linux-g++ -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -fdiagnostics-color=always -fPIC -MD -MT generic/CMakeFiles/nextpnr-generic.dir/__/common/kernel/sdc.cc.o -MF CMakeFiles/nextpnr-generic.dir/__/common/kernel/sdc.cc.o.d -o CMakeFiles/nextpnr-generic.dir/__/common/kernel/sdc.cc.o -c /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/sdc.cc cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/generic && /gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/bin/c++ -DARCHNAME=generic -DARCH_GENERIC -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DNEXTPNR_NAMESPACE=nextpnr_generic -DNO_RUST -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -Dnextpnr_generic_EXPORTS -I/gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/include/python3.11 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/generic -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/common -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/frontend/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/json/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/rust/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/json11/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/place/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/route/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/oourafft/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/generic -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui -isystem /gnu/store/r94kayv6y93mj1hgc13k11klha366dsw-eigen-3.4.0/include/eigen3 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtWidgets -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtGui -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtCore -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/lib/qt5/mkspecs/linux-g++ -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -fdiagnostics-color=always -fPIC -MD -MT generic/CMakeFiles/nextpnr-generic.dir/__/common/kernel/str_ring_buffer.cc.o -MF CMakeFiles/nextpnr-generic.dir/__/common/kernel/str_ring_buffer.cc.o.d -o CMakeFiles/nextpnr-generic.dir/__/common/kernel/str_ring_buffer.cc.o -c /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/str_ring_buffer.cc cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/generic && /gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/bin/c++ -DARCHNAME=generic -DARCH_GENERIC -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DNEXTPNR_NAMESPACE=nextpnr_generic -DNO_RUST -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -Dnextpnr_generic_EXPORTS -I/gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/include/python3.11 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/generic -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/common -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/frontend/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/json/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/rust/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/json11/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/place/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/route/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/oourafft/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/generic -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui -isystem /gnu/store/r94kayv6y93mj1hgc13k11klha366dsw-eigen-3.4.0/include/eigen3 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtWidgets -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtGui -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtCore -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/lib/qt5/mkspecs/linux-g++ -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -fdiagnostics-color=always -fPIC -MD -MT generic/CMakeFiles/nextpnr-generic.dir/__/common/kernel/svg.cc.o -MF CMakeFiles/nextpnr-generic.dir/__/common/kernel/svg.cc.o.d -o CMakeFiles/nextpnr-generic.dir/__/common/kernel/svg.cc.o -c /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/svg.cc [ 32%] Building CXX object generic/CMakeFiles/nextpnr-generic.dir/__/common/place/parallel_refine.cc.o [ 32%] Building CXX object generic/CMakeFiles/nextpnr-generic.dir/__/common/place/detail_place_core.cc.o cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/generic && /gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/bin/c++ -DARCHNAME=generic -DARCH_GENERIC -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DNEXTPNR_NAMESPACE=nextpnr_generic -DNO_RUST -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -Dnextpnr_generic_EXPORTS -I/gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/include/python3.11 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/generic -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/common -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/frontend/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/json/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/rust/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/json11/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/place/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/route/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/oourafft/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/generic -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui -isystem /gnu/store/r94kayv6y93mj1hgc13k11klha366dsw-eigen-3.4.0/include/eigen3 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtWidgets -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtGui -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtCore -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/lib/qt5/mkspecs/linux-g++ -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -fdiagnostics-color=always -fPIC -MD -MT generic/CMakeFiles/nextpnr-generic.dir/__/common/kernel/timing.cc.o -MF CMakeFiles/nextpnr-generic.dir/__/common/kernel/timing.cc.o.d -o CMakeFiles/nextpnr-generic.dir/__/common/kernel/timing.cc.o -c /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/timing.cc cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/generic && /gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/bin/c++ -DARCHNAME=generic -DARCH_GENERIC -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DNEXTPNR_NAMESPACE=nextpnr_generic -DNO_RUST -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -Dnextpnr_generic_EXPORTS -I/gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/include/python3.11 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/generic -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/common -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/frontend/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/json/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/rust/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/json11/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/place/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/route/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/oourafft/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/generic -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui -isystem /gnu/store/r94kayv6y93mj1hgc13k11klha366dsw-eigen-3.4.0/include/eigen3 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtWidgets -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtGui -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtCore -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/lib/qt5/mkspecs/linux-g++ -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -fdiagnostics-color=always -fPIC -MD -MT generic/CMakeFiles/nextpnr-generic.dir/__/common/kernel/timing_log.cc.o -MF CMakeFiles/nextpnr-generic.dir/__/common/kernel/timing_log.cc.o.d -o CMakeFiles/nextpnr-generic.dir/__/common/kernel/timing_log.cc.o -c /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/timing_log.cc cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/generic && /gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/bin/c++ -DARCHNAME=generic -DARCH_GENERIC -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DNEXTPNR_NAMESPACE=nextpnr_generic -DNO_RUST -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -Dnextpnr_generic_EXPORTS -I/gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/include/python3.11 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/generic -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/common -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/frontend/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/json/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/rust/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/json11/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/place/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/route/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/oourafft/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/generic -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui -isystem /gnu/store/r94kayv6y93mj1hgc13k11klha366dsw-eigen-3.4.0/include/eigen3 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtWidgets -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtGui -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtCore -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/lib/qt5/mkspecs/linux-g++ -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -fdiagnostics-color=always -fPIC -MD -MT generic/CMakeFiles/nextpnr-generic.dir/__/frontend/json_frontend.cc.o -MF CMakeFiles/nextpnr-generic.dir/__/frontend/json_frontend.cc.o.d -o CMakeFiles/nextpnr-generic.dir/__/frontend/json_frontend.cc.o -c /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/frontend/json_frontend.cc cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/generic && /gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/bin/c++ -DARCHNAME=generic -DARCH_GENERIC -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DNEXTPNR_NAMESPACE=nextpnr_generic -DNO_RUST -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -Dnextpnr_generic_EXPORTS -I/gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/include/python3.11 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/generic -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/common -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/frontend/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/json/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/rust/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/json11/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/place/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/route/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/oourafft/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/generic -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui -isystem /gnu/store/r94kayv6y93mj1hgc13k11klha366dsw-eigen-3.4.0/include/eigen3 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtWidgets -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtGui -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtCore -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/lib/qt5/mkspecs/linux-g++ -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -fdiagnostics-color=always -fPIC -MD -MT generic/CMakeFiles/nextpnr-generic.dir/__/json/jsonwrite.cc.o -MF CMakeFiles/nextpnr-generic.dir/__/json/jsonwrite.cc.o.d -o CMakeFiles/nextpnr-generic.dir/__/json/jsonwrite.cc.o -c /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/json/jsonwrite.cc cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/generic && /gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/bin/c++ -DARCHNAME=generic -DARCH_GENERIC -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DNEXTPNR_NAMESPACE=nextpnr_generic -DNO_RUST -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -Dnextpnr_generic_EXPORTS -I/gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/include/python3.11 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/generic -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/common -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/frontend/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/json/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/rust/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/json11/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/place/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/route/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/oourafft/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/generic -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui -isystem /gnu/store/r94kayv6y93mj1hgc13k11klha366dsw-eigen-3.4.0/include/eigen3 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtWidgets -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtGui -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtCore -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/lib/qt5/mkspecs/linux-g++ -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -fdiagnostics-color=always -fPIC -MD -MT generic/CMakeFiles/nextpnr-generic.dir/__/common/place/detail_place_core.cc.o -MF CMakeFiles/nextpnr-generic.dir/__/common/place/detail_place_core.cc.o.d -o CMakeFiles/nextpnr-generic.dir/__/common/place/detail_place_core.cc.o -c /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/place/detail_place_core.cc cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/generic && /gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/bin/c++ -DARCHNAME=generic -DARCH_GENERIC -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DNEXTPNR_NAMESPACE=nextpnr_generic -DNO_RUST -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -Dnextpnr_generic_EXPORTS -I/gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/include/python3.11 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/generic -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/common -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/frontend/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/json/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/rust/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/json11/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/place/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/route/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/oourafft/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/generic -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui -isystem /gnu/store/r94kayv6y93mj1hgc13k11klha366dsw-eigen-3.4.0/include/eigen3 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtWidgets -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtGui -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtCore -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/lib/qt5/mkspecs/linux-g++ -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -fdiagnostics-color=always -fPIC -MD -MT generic/CMakeFiles/nextpnr-generic.dir/__/common/place/parallel_refine.cc.o -MF CMakeFiles/nextpnr-generic.dir/__/common/place/parallel_refine.cc.o.d -o CMakeFiles/nextpnr-generic.dir/__/common/place/parallel_refine.cc.o -c /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/place/parallel_refine.cc [ 33%] Building CXX object generic/CMakeFiles/nextpnr-generic.dir/__/common/place/placer1.cc.o [ 33%] Building CXX object generic/CMakeFiles/nextpnr-generic.dir/__/common/place/place_common.cc.o [ 33%] Building CXX object generic/CMakeFiles/nextpnr-generic.dir/__/common/place/placer_heap.cc.o [ 33%] Building CXX object generic/CMakeFiles/nextpnr-generic.dir/__/common/place/timing_opt.cc.o [ 33%] Building CXX object generic/CMakeFiles/nextpnr-generic.dir/__/common/place/placer_static.cc.o [ 33%] Building CXX object generic/CMakeFiles/nextpnr-generic-test.dir/__/common/kernel/svg.cc.o [ 33%] Building CXX object generic/CMakeFiles/nextpnr-generic.dir/__/common/route/router1.cc.o cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/generic && /gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/bin/c++ -DARCHNAME=generic -DARCH_GENERIC -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DNEXTPNR_NAMESPACE=nextpnr_generic -DNO_RUST -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -Dnextpnr_generic_EXPORTS -I/gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/include/python3.11 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/generic -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/common -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/frontend/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/json/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/rust/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/json11/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/place/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/route/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/oourafft/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/generic -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui -isystem /gnu/store/r94kayv6y93mj1hgc13k11klha366dsw-eigen-3.4.0/include/eigen3 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtWidgets -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtGui -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtCore -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/lib/qt5/mkspecs/linux-g++ -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -fdiagnostics-color=always -fPIC -MD -MT generic/CMakeFiles/nextpnr-generic.dir/__/common/place/placer_heap.cc.o -MF CMakeFiles/nextpnr-generic.dir/__/common/place/placer_heap.cc.o.d -o CMakeFiles/nextpnr-generic.dir/__/common/place/placer_heap.cc.o -c /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/place/placer_heap.cc [ 33%] Building CXX object generic/CMakeFiles/nextpnr-generic.dir/__/3rdparty/oourafft/fftsg.cc.o cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/generic && /gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/bin/c++ -DARCHNAME=generic -DARCH_GENERIC -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DNEXTPNR_NAMESPACE=nextpnr_generic -DNO_RUST -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -Dnextpnr_generic_EXPORTS -I/gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/include/python3.11 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/generic -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/common -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/frontend/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/json/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/rust/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/json11/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/place/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/route/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/oourafft/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/generic -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui -isystem /gnu/store/r94kayv6y93mj1hgc13k11klha366dsw-eigen-3.4.0/include/eigen3 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtWidgets -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtGui -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtCore -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/lib/qt5/mkspecs/linux-g++ -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -fdiagnostics-color=always -fPIC -MD -MT generic/CMakeFiles/nextpnr-generic.dir/__/common/place/place_common.cc.o -MF CMakeFiles/nextpnr-generic.dir/__/common/place/place_common.cc.o.d -o CMakeFiles/nextpnr-generic.dir/__/common/place/place_common.cc.o -c /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/place/place_common.cc [ 34%] Building CXX object generic/CMakeFiles/nextpnr-generic-test.dir/__/frontend/json_frontend.cc.o [ 34%] Building CXX object generic/CMakeFiles/nextpnr-generic-test.dir/__/common/kernel/timing_log.cc.o [ 34%] Building CXX object generic/CMakeFiles/nextpnr-generic.dir/__/common/route/router2.cc.o cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/generic && /gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/bin/c++ -DARCHNAME=generic -DARCH_GENERIC -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DNEXTPNR_NAMESPACE=nextpnr_generic -DNO_RUST -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -Dnextpnr_generic_EXPORTS -I/gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/include/python3.11 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/generic -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/common -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/frontend/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/json/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/rust/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/json11/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/place/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/route/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/oourafft/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/generic -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui -isystem /gnu/store/r94kayv6y93mj1hgc13k11klha366dsw-eigen-3.4.0/include/eigen3 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtWidgets -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtGui -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtCore -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/lib/qt5/mkspecs/linux-g++ -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -fdiagnostics-color=always -fPIC -MD -MT generic/CMakeFiles/nextpnr-generic.dir/__/common/place/placer1.cc.o -MF CMakeFiles/nextpnr-generic.dir/__/common/place/placer1.cc.o.d -o CMakeFiles/nextpnr-generic.dir/__/common/place/placer1.cc.o -c /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/place/placer1.cc [ 34%] Building CXX object generic/CMakeFiles/nextpnr-generic-test.dir/__/common/kernel/timing.cc.o cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/generic && /gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/bin/c++ -DARCHNAME=generic -DARCH_GENERIC -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DNEXTPNR_NAMESPACE=nextpnr_generic -DNO_RUST -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -Dnextpnr_generic_EXPORTS -I/gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/include/python3.11 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/generic -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/common -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/frontend/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/json/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/rust/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/json11/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/place/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/route/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/oourafft/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/generic -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui -isystem /gnu/store/r94kayv6y93mj1hgc13k11klha366dsw-eigen-3.4.0/include/eigen3 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtWidgets -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtGui -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtCore -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/lib/qt5/mkspecs/linux-g++ -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -fdiagnostics-color=always -fPIC -MD -MT generic/CMakeFiles/nextpnr-generic.dir/__/common/place/placer_static.cc.o -MF CMakeFiles/nextpnr-generic.dir/__/common/place/placer_static.cc.o.d -o CMakeFiles/nextpnr-generic.dir/__/common/place/placer_static.cc.o -c /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/place/placer_static.cc cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/generic && /gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/bin/c++ -DARCHNAME=generic -DARCH_GENERIC -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DNEXTPNR_NAMESPACE=nextpnr_generic -DNO_RUST -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -Dnextpnr_generic_EXPORTS -I/gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/include/python3.11 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/generic -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/common -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/frontend/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/json/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/rust/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/json11/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/place/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/route/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/oourafft/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/generic -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui -isystem /gnu/store/r94kayv6y93mj1hgc13k11klha366dsw-eigen-3.4.0/include/eigen3 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtWidgets -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtGui -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtCore -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/lib/qt5/mkspecs/linux-g++ -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -fdiagnostics-color=always -fPIC -MD -MT generic/CMakeFiles/nextpnr-generic.dir/__/common/place/timing_opt.cc.o -MF CMakeFiles/nextpnr-generic.dir/__/common/place/timing_opt.cc.o.d -o CMakeFiles/nextpnr-generic.dir/__/common/place/timing_opt.cc.o -c /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/place/timing_opt.cc cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/generic && /gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/bin/c++ -DARCHNAME=generic -DARCH_GENERIC -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DGTEST_LINKED_AS_SHARED_LIBRARY=1 -DNEXTPNR_NAMESPACE=nextpnr_generic -DNO_RUST -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -Dnextpnr_generic_test_EXPORTS -I/gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/include/python3.11 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/generic/gtest_include_dir -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/generic -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/common -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/frontend/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/json/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/rust/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/json11/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/place/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/route/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/oourafft/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/generic -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui -isystem /gnu/store/r94kayv6y93mj1hgc13k11klha366dsw-eigen-3.4.0/include/eigen3 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtWidgets -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtGui -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtCore -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/lib/qt5/mkspecs/linux-g++ -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -fdiagnostics-color=always -fPIC -MD -MT generic/CMakeFiles/nextpnr-generic-test.dir/__/common/kernel/svg.cc.o -MF CMakeFiles/nextpnr-generic-test.dir/__/common/kernel/svg.cc.o.d -o CMakeFiles/nextpnr-generic-test.dir/__/common/kernel/svg.cc.o -c /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/svg.cc cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/generic && /gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/bin/c++ -DARCHNAME=generic -DARCH_GENERIC -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DNEXTPNR_NAMESPACE=nextpnr_generic -DNO_RUST -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -Dnextpnr_generic_EXPORTS -I/gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/include/python3.11 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/generic -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/common -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/frontend/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/json/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/rust/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/json11/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/place/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/route/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/oourafft/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/generic -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui -isystem /gnu/store/r94kayv6y93mj1hgc13k11klha366dsw-eigen-3.4.0/include/eigen3 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtWidgets -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtGui -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtCore -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/lib/qt5/mkspecs/linux-g++ -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -fdiagnostics-color=always -fPIC -MD -MT generic/CMakeFiles/nextpnr-generic.dir/__/common/route/router1.cc.o -MF CMakeFiles/nextpnr-generic.dir/__/common/route/router1.cc.o.d -o CMakeFiles/nextpnr-generic.dir/__/common/route/router1.cc.o -c /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/route/router1.cc cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/generic && /gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/bin/c++ -DARCHNAME=generic -DARCH_GENERIC -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DNEXTPNR_NAMESPACE=nextpnr_generic -DNO_RUST -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -Dnextpnr_generic_EXPORTS -I/gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/include/python3.11 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/generic -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/common -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/frontend/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/json/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/rust/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/json11/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/place/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/route/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/oourafft/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/generic -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui -isystem /gnu/store/r94kayv6y93mj1hgc13k11klha366dsw-eigen-3.4.0/include/eigen3 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtWidgets -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtGui -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtCore -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/lib/qt5/mkspecs/linux-g++ -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -fdiagnostics-color=always -fPIC -MD -MT generic/CMakeFiles/nextpnr-generic.dir/__/common/route/router2.cc.o -MF CMakeFiles/nextpnr-generic.dir/__/common/route/router2.cc.o.d -o CMakeFiles/nextpnr-generic.dir/__/common/route/router2.cc.o -c /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/route/router2.cc cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/generic && /gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/bin/c++ -DARCHNAME=generic -DARCH_GENERIC -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DGTEST_LINKED_AS_SHARED_LIBRARY=1 -DNEXTPNR_NAMESPACE=nextpnr_generic -DNO_RUST -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -Dnextpnr_generic_test_EXPORTS -I/gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/include/python3.11 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/generic/gtest_include_dir -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/generic -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/common -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/frontend/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/json/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/rust/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/json11/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/place/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/route/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/oourafft/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/generic -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui -isystem /gnu/store/r94kayv6y93mj1hgc13k11klha366dsw-eigen-3.4.0/include/eigen3 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtWidgets -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtGui -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtCore -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/lib/qt5/mkspecs/linux-g++ -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -fdiagnostics-color=always -fPIC -MD -MT generic/CMakeFiles/nextpnr-generic-test.dir/__/common/kernel/timing_log.cc.o -MF CMakeFiles/nextpnr-generic-test.dir/__/common/kernel/timing_log.cc.o.d -o CMakeFiles/nextpnr-generic-test.dir/__/common/kernel/timing_log.cc.o -c /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/timing_log.cc cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/generic && /gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/bin/c++ -DARCHNAME=generic -DARCH_GENERIC -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DNEXTPNR_NAMESPACE=nextpnr_generic -DNO_RUST -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -Dnextpnr_generic_EXPORTS -I/gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/include/python3.11 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/generic -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/common -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/frontend/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/json/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/rust/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/json11/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/place/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/route/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/oourafft/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/generic -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui -isystem /gnu/store/r94kayv6y93mj1hgc13k11klha366dsw-eigen-3.4.0/include/eigen3 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtWidgets -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtGui -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtCore -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/lib/qt5/mkspecs/linux-g++ -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -fdiagnostics-color=always -fPIC -MD -MT generic/CMakeFiles/nextpnr-generic.dir/__/3rdparty/oourafft/fftsg.cc.o -MF CMakeFiles/nextpnr-generic.dir/__/3rdparty/oourafft/fftsg.cc.o.d -o CMakeFiles/nextpnr-generic.dir/__/3rdparty/oourafft/fftsg.cc.o -c /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/oourafft/fftsg.cc [ 34%] Building CXX object generic/CMakeFiles/nextpnr-generic-test.dir/__/json/jsonwrite.cc.o [ 34%] Building CXX object generic/CMakeFiles/nextpnr-generic-test.dir/__/common/place/detail_place_core.cc.o cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/generic && /gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/bin/c++ -DARCHNAME=generic -DARCH_GENERIC -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DGTEST_LINKED_AS_SHARED_LIBRARY=1 -DNEXTPNR_NAMESPACE=nextpnr_generic -DNO_RUST -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -Dnextpnr_generic_test_EXPORTS -I/gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/include/python3.11 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/generic/gtest_include_dir -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/generic -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/common -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/frontend/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/json/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/rust/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/json11/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/place/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/route/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/oourafft/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/generic -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui -isystem /gnu/store/r94kayv6y93mj1hgc13k11klha366dsw-eigen-3.4.0/include/eigen3 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtWidgets -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtGui -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtCore -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/lib/qt5/mkspecs/linux-g++ -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -fdiagnostics-color=always -fPIC -MD -MT generic/CMakeFiles/nextpnr-generic-test.dir/__/common/kernel/timing.cc.o -MF CMakeFiles/nextpnr-generic-test.dir/__/common/kernel/timing.cc.o.d -o CMakeFiles/nextpnr-generic-test.dir/__/common/kernel/timing.cc.o -c /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/timing.cc cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/generic && /gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/bin/c++ -DARCHNAME=generic -DARCH_GENERIC -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DGTEST_LINKED_AS_SHARED_LIBRARY=1 -DNEXTPNR_NAMESPACE=nextpnr_generic -DNO_RUST -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -Dnextpnr_generic_test_EXPORTS -I/gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/include/python3.11 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/generic/gtest_include_dir -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/generic -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/common -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/frontend/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/json/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/rust/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/json11/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/place/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/route/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/oourafft/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/generic -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui -isystem /gnu/store/r94kayv6y93mj1hgc13k11klha366dsw-eigen-3.4.0/include/eigen3 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtWidgets -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtGui -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtCore -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/lib/qt5/mkspecs/linux-g++ -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -fdiagnostics-color=always -fPIC -MD -MT generic/CMakeFiles/nextpnr-generic-test.dir/__/frontend/json_frontend.cc.o -MF CMakeFiles/nextpnr-generic-test.dir/__/frontend/json_frontend.cc.o.d -o CMakeFiles/nextpnr-generic-test.dir/__/frontend/json_frontend.cc.o -c /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/frontend/json_frontend.cc cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/generic && /gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/bin/c++ -DARCHNAME=generic -DARCH_GENERIC -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DGTEST_LINKED_AS_SHARED_LIBRARY=1 -DNEXTPNR_NAMESPACE=nextpnr_generic -DNO_RUST -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -Dnextpnr_generic_test_EXPORTS -I/gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/include/python3.11 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/generic/gtest_include_dir -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/generic -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/common -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/frontend/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/json/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/rust/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/json11/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/place/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/route/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/oourafft/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/generic -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui -isystem /gnu/store/r94kayv6y93mj1hgc13k11klha366dsw-eigen-3.4.0/include/eigen3 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtWidgets -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtGui -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtCore -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/lib/qt5/mkspecs/linux-g++ -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -fdiagnostics-color=always -fPIC -MD -MT generic/CMakeFiles/nextpnr-generic-test.dir/__/json/jsonwrite.cc.o -MF CMakeFiles/nextpnr-generic-test.dir/__/json/jsonwrite.cc.o.d -o CMakeFiles/nextpnr-generic-test.dir/__/json/jsonwrite.cc.o -c /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/json/jsonwrite.cc [ 34%] Building CXX object generic/CMakeFiles/nextpnr-generic-test.dir/__/common/place/parallel_refine.cc.o [ 34%] Building CXX object generic/CMakeFiles/nextpnr-generic-test.dir/__/common/place/place_common.cc.o cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/generic && /gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/bin/c++ -DARCHNAME=generic -DARCH_GENERIC -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DGTEST_LINKED_AS_SHARED_LIBRARY=1 -DNEXTPNR_NAMESPACE=nextpnr_generic -DNO_RUST -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -Dnextpnr_generic_test_EXPORTS -I/gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/include/python3.11 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/generic/gtest_include_dir -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/generic -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/common -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/frontend/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/json/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/rust/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/json11/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/place/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/route/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/oourafft/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/generic -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui -isystem /gnu/store/r94kayv6y93mj1hgc13k11klha366dsw-eigen-3.4.0/include/eigen3 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtWidgets -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtGui -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtCore -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/lib/qt5/mkspecs/linux-g++ -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -fdiagnostics-color=always -fPIC -MD -MT generic/CMakeFiles/nextpnr-generic-test.dir/__/common/place/detail_place_core.cc.o -MF CMakeFiles/nextpnr-generic-test.dir/__/common/place/detail_place_core.cc.o.d -o CMakeFiles/nextpnr-generic-test.dir/__/common/place/detail_place_core.cc.o -c /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/place/detail_place_core.cc cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/generic && /gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/bin/c++ -DARCHNAME=generic -DARCH_GENERIC -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DGTEST_LINKED_AS_SHARED_LIBRARY=1 -DNEXTPNR_NAMESPACE=nextpnr_generic -DNO_RUST -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -Dnextpnr_generic_test_EXPORTS -I/gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/include/python3.11 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/generic/gtest_include_dir -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/generic -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/common -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/frontend/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/json/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/rust/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/json11/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/place/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/route/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/oourafft/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/generic -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui -isystem /gnu/store/r94kayv6y93mj1hgc13k11klha366dsw-eigen-3.4.0/include/eigen3 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtWidgets -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtGui -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtCore -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/lib/qt5/mkspecs/linux-g++ -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -fdiagnostics-color=always -fPIC -MD -MT generic/CMakeFiles/nextpnr-generic-test.dir/__/common/place/place_common.cc.o -MF CMakeFiles/nextpnr-generic-test.dir/__/common/place/place_common.cc.o.d -o CMakeFiles/nextpnr-generic-test.dir/__/common/place/place_common.cc.o -c /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/place/place_common.cc [ 35%] Building CXX object generic/CMakeFiles/nextpnr-generic-test.dir/__/common/place/placer1.cc.o [ 35%] Building CXX object generic/CMakeFiles/nextpnr-generic.dir/chipdb.cc.o cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/generic && /gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/bin/c++ -DARCHNAME=generic -DARCH_GENERIC -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DGTEST_LINKED_AS_SHARED_LIBRARY=1 -DNEXTPNR_NAMESPACE=nextpnr_generic -DNO_RUST -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -Dnextpnr_generic_test_EXPORTS -I/gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/include/python3.11 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/generic/gtest_include_dir -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/generic -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/common -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/frontend/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/json/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/rust/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/json11/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/place/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/route/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/oourafft/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/generic -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui -isystem /gnu/store/r94kayv6y93mj1hgc13k11klha366dsw-eigen-3.4.0/include/eigen3 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtWidgets -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtGui -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtCore -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/lib/qt5/mkspecs/linux-g++ -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -fdiagnostics-color=always -fPIC -MD -MT generic/CMakeFiles/nextpnr-generic-test.dir/__/common/place/parallel_refine.cc.o -MF CMakeFiles/nextpnr-generic-test.dir/__/common/place/parallel_refine.cc.o.d -o CMakeFiles/nextpnr-generic-test.dir/__/common/place/parallel_refine.cc.o -c /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/place/parallel_refine.cc [ 35%] Building CXX object generic/CMakeFiles/nextpnr-generic-test.dir/__/common/place/placer_heap.cc.o cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/generic && /gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/bin/c++ -DARCHNAME=generic -DARCH_GENERIC -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DNEXTPNR_NAMESPACE=nextpnr_generic -DNO_RUST -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -Dnextpnr_generic_EXPORTS -I/gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/include/python3.11 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/generic -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/common -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/frontend/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/json/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/rust/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/json11/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/place/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/route/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/oourafft/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/generic -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui -isystem /gnu/store/r94kayv6y93mj1hgc13k11klha366dsw-eigen-3.4.0/include/eigen3 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtWidgets -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtGui -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtCore -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/lib/qt5/mkspecs/linux-g++ -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -fdiagnostics-color=always -fPIC -MD -MT generic/CMakeFiles/nextpnr-generic.dir/chipdb.cc.o -MF CMakeFiles/nextpnr-generic.dir/chipdb.cc.o.d -o CMakeFiles/nextpnr-generic.dir/chipdb.cc.o -c /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/generic/chipdb.cc cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/generic && /gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/bin/c++ -DARCHNAME=generic -DARCH_GENERIC -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DGTEST_LINKED_AS_SHARED_LIBRARY=1 -DNEXTPNR_NAMESPACE=nextpnr_generic -DNO_RUST -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -Dnextpnr_generic_test_EXPORTS -I/gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/include/python3.11 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/generic/gtest_include_dir -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/generic -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/common -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/frontend/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/json/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/rust/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/json11/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/place/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/route/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/oourafft/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/generic -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui -isystem /gnu/store/r94kayv6y93mj1hgc13k11klha366dsw-eigen-3.4.0/include/eigen3 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtWidgets -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtGui -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtCore -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/lib/qt5/mkspecs/linux-g++ -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -fdiagnostics-color=always -fPIC -MD -MT generic/CMakeFiles/nextpnr-generic-test.dir/__/common/place/placer1.cc.o -MF CMakeFiles/nextpnr-generic-test.dir/__/common/place/placer1.cc.o.d -o CMakeFiles/nextpnr-generic-test.dir/__/common/place/placer1.cc.o -c /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/place/placer1.cc cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/generic && /gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/bin/c++ -DARCHNAME=generic -DARCH_GENERIC -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DGTEST_LINKED_AS_SHARED_LIBRARY=1 -DNEXTPNR_NAMESPACE=nextpnr_generic -DNO_RUST -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -Dnextpnr_generic_test_EXPORTS -I/gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/include/python3.11 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/generic/gtest_include_dir -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/generic -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/common -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/frontend/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/json/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/rust/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/json11/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/place/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/route/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/oourafft/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/generic -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui -isystem /gnu/store/r94kayv6y93mj1hgc13k11klha366dsw-eigen-3.4.0/include/eigen3 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtWidgets -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtGui -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtCore -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/lib/qt5/mkspecs/linux-g++ -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -fdiagnostics-color=always -fPIC -MD -MT generic/CMakeFiles/nextpnr-generic-test.dir/__/common/place/placer_heap.cc.o -MF CMakeFiles/nextpnr-generic-test.dir/__/common/place/placer_heap.cc.o.d -o CMakeFiles/nextpnr-generic-test.dir/__/common/place/placer_heap.cc.o -c /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/place/placer_heap.cc [ 35%] Building CXX object generic/CMakeFiles/nextpnr-generic.dir/__/3rdparty/oourafft/fftsg2d.cc.o cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/generic && /gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/bin/c++ -DARCHNAME=generic -DARCH_GENERIC -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DNEXTPNR_NAMESPACE=nextpnr_generic -DNO_RUST -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -Dnextpnr_generic_EXPORTS -I/gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/include/python3.11 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/generic -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/common -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/frontend/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/json/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/rust/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/json11/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/place/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/route/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/oourafft/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/generic -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui -isystem /gnu/store/r94kayv6y93mj1hgc13k11klha366dsw-eigen-3.4.0/include/eigen3 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtWidgets -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtGui -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtCore -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/lib/qt5/mkspecs/linux-g++ -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -fdiagnostics-color=always -fPIC -MD -MT generic/CMakeFiles/nextpnr-generic.dir/__/3rdparty/oourafft/fftsg2d.cc.o -MF CMakeFiles/nextpnr-generic.dir/__/3rdparty/oourafft/fftsg2d.cc.o.d -o CMakeFiles/nextpnr-generic.dir/__/3rdparty/oourafft/fftsg2d.cc.o -c /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/oourafft/fftsg2d.cc [ 35%] Building CXX object generic/CMakeFiles/nextpnr-generic-test.dir/__/common/place/placer_static.cc.o [ 36%] Building CXX object generic/CMakeFiles/nextpnr-generic-test.dir/__/common/place/timing_opt.cc.o cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/generic && /gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/bin/c++ -DARCHNAME=generic -DARCH_GENERIC -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DGTEST_LINKED_AS_SHARED_LIBRARY=1 -DNEXTPNR_NAMESPACE=nextpnr_generic -DNO_RUST -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -Dnextpnr_generic_test_EXPORTS -I/gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/include/python3.11 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/generic/gtest_include_dir -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/generic -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/common -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/frontend/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/json/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/rust/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/json11/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/place/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/route/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/oourafft/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/generic -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui -isystem /gnu/store/r94kayv6y93mj1hgc13k11klha366dsw-eigen-3.4.0/include/eigen3 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtWidgets -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtGui -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtCore -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/lib/qt5/mkspecs/linux-g++ -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -fdiagnostics-color=always -fPIC -MD -MT generic/CMakeFiles/nextpnr-generic-test.dir/__/common/place/placer_static.cc.o -MF CMakeFiles/nextpnr-generic-test.dir/__/common/place/placer_static.cc.o.d -o CMakeFiles/nextpnr-generic-test.dir/__/common/place/placer_static.cc.o -c /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/place/placer_static.cc [ 36%] Building CXX object generic/CMakeFiles/nextpnr-generic-test.dir/__/common/route/router1.cc.o cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/generic && /gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/bin/c++ -DARCHNAME=generic -DARCH_GENERIC -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DGTEST_LINKED_AS_SHARED_LIBRARY=1 -DNEXTPNR_NAMESPACE=nextpnr_generic -DNO_RUST -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -Dnextpnr_generic_test_EXPORTS -I/gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/include/python3.11 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/generic/gtest_include_dir -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/generic -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/common -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/frontend/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/json/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/rust/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/json11/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/place/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/route/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/oourafft/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/generic -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui -isystem /gnu/store/r94kayv6y93mj1hgc13k11klha366dsw-eigen-3.4.0/include/eigen3 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtWidgets -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtGui -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtCore -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/lib/qt5/mkspecs/linux-g++ -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -fdiagnostics-color=always -fPIC -MD -MT generic/CMakeFiles/nextpnr-generic-test.dir/__/common/place/timing_opt.cc.o -MF CMakeFiles/nextpnr-generic-test.dir/__/common/place/timing_opt.cc.o.d -o CMakeFiles/nextpnr-generic-test.dir/__/common/place/timing_opt.cc.o -c /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/place/timing_opt.cc cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/generic && /gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/bin/c++ -DARCHNAME=generic -DARCH_GENERIC -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DGTEST_LINKED_AS_SHARED_LIBRARY=1 -DNEXTPNR_NAMESPACE=nextpnr_generic -DNO_RUST -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -Dnextpnr_generic_test_EXPORTS -I/gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/include/python3.11 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/generic/gtest_include_dir -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/generic -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/common -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/frontend/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/json/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/rust/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/json11/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/place/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/route/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/oourafft/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/generic -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui -isystem /gnu/store/r94kayv6y93mj1hgc13k11klha366dsw-eigen-3.4.0/include/eigen3 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtWidgets -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtGui -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtCore -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/lib/qt5/mkspecs/linux-g++ -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -fdiagnostics-color=always -fPIC -MD -MT generic/CMakeFiles/nextpnr-generic-test.dir/__/common/route/router1.cc.o -MF CMakeFiles/nextpnr-generic-test.dir/__/common/route/router1.cc.o.d -o CMakeFiles/nextpnr-generic-test.dir/__/common/route/router1.cc.o -c /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/route/router1.cc [ 36%] Building CXX object generic/CMakeFiles/nextpnr-generic-test.dir/__/tests/gui/quadtree.cc.o [ 36%] Building CXX object generic/CMakeFiles/nextpnr-generic-test.dir/chipdb.cc.o cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/generic && /gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/bin/c++ -DARCHNAME=generic -DARCH_GENERIC -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DGTEST_LINKED_AS_SHARED_LIBRARY=1 -DNEXTPNR_NAMESPACE=nextpnr_generic -DNO_RUST -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -Dnextpnr_generic_test_EXPORTS -I/gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/include/python3.11 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/generic/gtest_include_dir -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/generic -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/common -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/frontend/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/json/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/rust/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/json11/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/place/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/route/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/oourafft/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/generic -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui -isystem /gnu/store/r94kayv6y93mj1hgc13k11klha366dsw-eigen-3.4.0/include/eigen3 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtWidgets -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtGui -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtCore -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/lib/qt5/mkspecs/linux-g++ -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -fdiagnostics-color=always -fPIC -MD -MT generic/CMakeFiles/nextpnr-generic-test.dir/__/tests/gui/quadtree.cc.o -MF CMakeFiles/nextpnr-generic-test.dir/__/tests/gui/quadtree.cc.o.d -o CMakeFiles/nextpnr-generic-test.dir/__/tests/gui/quadtree.cc.o -c /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/tests/gui/quadtree.cc cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/generic && /gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/bin/c++ -DARCHNAME=generic -DARCH_GENERIC -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DGTEST_LINKED_AS_SHARED_LIBRARY=1 -DNEXTPNR_NAMESPACE=nextpnr_generic -DNO_RUST -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -Dnextpnr_generic_test_EXPORTS -I/gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/include/python3.11 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/generic/gtest_include_dir -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/generic -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/common -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/frontend/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/json/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/rust/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/json11/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/place/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/route/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/oourafft/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/generic -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui -isystem /gnu/store/r94kayv6y93mj1hgc13k11klha366dsw-eigen-3.4.0/include/eigen3 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtWidgets -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtGui -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtCore -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/lib/qt5/mkspecs/linux-g++ -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -fdiagnostics-color=always -fPIC -MD -MT generic/CMakeFiles/nextpnr-generic-test.dir/chipdb.cc.o -MF CMakeFiles/nextpnr-generic-test.dir/chipdb.cc.o.d -o CMakeFiles/nextpnr-generic-test.dir/chipdb.cc.o -c /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/generic/chipdb.cc make[2]: Leaving directory '/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build' [ 36%] Built target nextpnr-himbaechel-gatemate-gui [ 36%] Building CXX object generic/CMakeFiles/nextpnr-generic-test.dir/__/3rdparty/oourafft/fftsg.cc.o [ 36%] Building CXX object generic/CMakeFiles/nextpnr-generic-test.dir/__/common/route/router2.cc.o [ 36%] Building CXX object generic/CMakeFiles/nextpnr-generic-test.dir/__/3rdparty/oourafft/fftsg2d.cc.o cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/generic && /gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/bin/c++ -DARCHNAME=generic -DARCH_GENERIC -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DGTEST_LINKED_AS_SHARED_LIBRARY=1 -DNEXTPNR_NAMESPACE=nextpnr_generic -DNO_RUST -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -Dnextpnr_generic_test_EXPORTS -I/gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/include/python3.11 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/generic/gtest_include_dir -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/generic -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/common -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/frontend/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/json/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/rust/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/json11/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/place/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/route/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/oourafft/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/generic -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui -isystem /gnu/store/r94kayv6y93mj1hgc13k11klha366dsw-eigen-3.4.0/include/eigen3 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtWidgets -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtGui -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtCore -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/lib/qt5/mkspecs/linux-g++ -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -fdiagnostics-color=always -fPIC -MD -MT generic/CMakeFiles/nextpnr-generic-test.dir/__/common/route/router2.cc.o -MF CMakeFiles/nextpnr-generic-test.dir/__/common/route/router2.cc.o.d -o CMakeFiles/nextpnr-generic-test.dir/__/common/route/router2.cc.o -c /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/route/router2.cc cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/generic && /gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/bin/c++ -DARCHNAME=generic -DARCH_GENERIC -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DGTEST_LINKED_AS_SHARED_LIBRARY=1 -DNEXTPNR_NAMESPACE=nextpnr_generic -DNO_RUST -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -Dnextpnr_generic_test_EXPORTS -I/gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/include/python3.11 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/generic/gtest_include_dir -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/generic -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/common -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/frontend/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/json/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/rust/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/json11/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/place/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/route/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/oourafft/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/generic -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui -isystem /gnu/store/r94kayv6y93mj1hgc13k11klha366dsw-eigen-3.4.0/include/eigen3 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtWidgets -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtGui -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtCore -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/lib/qt5/mkspecs/linux-g++ -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -fdiagnostics-color=always -fPIC -MD -MT generic/CMakeFiles/nextpnr-generic-test.dir/__/3rdparty/oourafft/fftsg.cc.o -MF CMakeFiles/nextpnr-generic-test.dir/__/3rdparty/oourafft/fftsg.cc.o.d -o CMakeFiles/nextpnr-generic-test.dir/__/3rdparty/oourafft/fftsg.cc.o -c /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/oourafft/fftsg.cc cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/generic && /gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/bin/c++ -DARCHNAME=generic -DARCH_GENERIC -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DGTEST_LINKED_AS_SHARED_LIBRARY=1 -DNEXTPNR_NAMESPACE=nextpnr_generic -DNO_RUST -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -Dnextpnr_generic_test_EXPORTS -I/gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/include/python3.11 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/generic/gtest_include_dir -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/generic -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/common -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/frontend/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/json/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/rust/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/json11/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/place/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/route/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/oourafft/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/generic -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui -isystem /gnu/store/r94kayv6y93mj1hgc13k11klha366dsw-eigen-3.4.0/include/eigen3 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtWidgets -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtGui -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtCore -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/lib/qt5/mkspecs/linux-g++ -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -fdiagnostics-color=always -fPIC -MD -MT generic/CMakeFiles/nextpnr-generic-test.dir/__/3rdparty/oourafft/fftsg2d.cc.o -MF CMakeFiles/nextpnr-generic-test.dir/__/3rdparty/oourafft/fftsg2d.cc.o.d -o CMakeFiles/nextpnr-generic-test.dir/__/3rdparty/oourafft/fftsg2d.cc.o -c /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/oourafft/fftsg2d.cc make[2]: Leaving directory '/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build' [ 36%] Built target nextpnr-himbaechel-gowin-gui /gnu/store/mx9i9dnjx34lkx8k8r79876q2f5dclvr-make-4.4.1/bin/make -f himbaechel/uarch/gowin/CMakeFiles/nextpnr-himbaechel-gowin.dir/build.make himbaechel/uarch/gowin/CMakeFiles/nextpnr-himbaechel-gowin.dir/depend /gnu/store/mx9i9dnjx34lkx8k8r79876q2f5dclvr-make-4.4.1/bin/make -f himbaechel/uarch/gowin/CMakeFiles/nextpnr-himbaechel-gowin-test.dir/build.make himbaechel/uarch/gowin/CMakeFiles/nextpnr-himbaechel-gowin-test.dir/depend make[2]: Entering directory '/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build' make[2]: Entering directory '/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build' cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build && /gnu/store/d5c8i3b549r412lkhddggyfx9mqdphs7-cmake-minimal-3.31.10/bin/cmake -E cmake_depends "Unix Makefiles" /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/himbaechel/uarch/gowin /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/himbaechel/uarch/gowin /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/himbaechel/uarch/gowin/CMakeFiles/nextpnr-himbaechel-gowin-test.dir/DependInfo.cmake "--color=" cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build && /gnu/store/d5c8i3b549r412lkhddggyfx9mqdphs7-cmake-minimal-3.31.10/bin/cmake -E cmake_depends "Unix Makefiles" /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/himbaechel/uarch/gowin /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/himbaechel/uarch/gowin /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/himbaechel/uarch/gowin/CMakeFiles/nextpnr-himbaechel-gowin.dir/DependInfo.cmake "--color=" make[2]: Leaving directory '/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build' /gnu/store/mx9i9dnjx34lkx8k8r79876q2f5dclvr-make-4.4.1/bin/make -f himbaechel/uarch/gowin/CMakeFiles/nextpnr-himbaechel-gowin-test.dir/build.make himbaechel/uarch/gowin/CMakeFiles/nextpnr-himbaechel-gowin-test.dir/build make[2]: Leaving directory '/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build' /gnu/store/mx9i9dnjx34lkx8k8r79876q2f5dclvr-make-4.4.1/bin/make -f himbaechel/uarch/gowin/CMakeFiles/nextpnr-himbaechel-gowin.dir/build.make himbaechel/uarch/gowin/CMakeFiles/nextpnr-himbaechel-gowin.dir/build make[2]: Entering directory '/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build' make[2]: Entering directory '/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build' [ 36%] Building CXX object himbaechel/uarch/gowin/CMakeFiles/nextpnr-himbaechel-gowin-test.dir/__/__/__/common/kernel/design_utils.cc.o [ 36%] Building CXX object himbaechel/uarch/gowin/CMakeFiles/nextpnr-himbaechel-gowin-test.dir/__/__/himbaechel_helpers.cc.o [ 36%] Building CXX object himbaechel/uarch/gowin/CMakeFiles/nextpnr-himbaechel-gowin-test.dir/__/__/arch.cc.o [ 36%] Building CXX object himbaechel/uarch/gowin/CMakeFiles/nextpnr-himbaechel-gowin-test.dir/__/__/himbaechel_api.cc.o [ 36%] Building CXX object himbaechel/uarch/gowin/CMakeFiles/nextpnr-himbaechel-gowin.dir/__/__/arch.cc.o [ 36%] Building CXX object himbaechel/uarch/gowin/CMakeFiles/nextpnr-himbaechel-gowin-test.dir/cst.cc.o [ 36%] Building CXX object himbaechel/uarch/gowin/CMakeFiles/nextpnr-himbaechel-gowin.dir/__/__/arch_pybindings.cc.o [ 36%] Building CXX object himbaechel/uarch/gowin/CMakeFiles/nextpnr-himbaechel-gowin-test.dir/__/__/arch_pybindings.cc.o [ 36%] Building CXX object himbaechel/uarch/gowin/CMakeFiles/nextpnr-himbaechel-gowin.dir/__/__/main.cc.o cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/himbaechel/uarch/gowin && /gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/bin/c++ -DARCHNAME=himbaechel -DARCH_HIMBAECHEL -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DGTEST_LINKED_AS_SHARED_LIBRARY=1 -DNEXTPNR_NAMESPACE=nextpnr_himbaechel -DNO_RUST -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -Dnextpnr_himbaechel_gowin_test_EXPORTS -I/gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/include/python3.11 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/himbaechel/uarch/gowin/gtest_include_dir -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/himbaechel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/common -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/frontend/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/json/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/rust/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/json11/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/place/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/route/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/oourafft/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/himbaechel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui -isystem /gnu/store/r94kayv6y93mj1hgc13k11klha366dsw-eigen-3.4.0/include/eigen3 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtWidgets -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtGui -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtCore -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/lib/qt5/mkspecs/linux-g++ -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -fdiagnostics-color=always -fPIC -MD -MT himbaechel/uarch/gowin/CMakeFiles/nextpnr-himbaechel-gowin-test.dir/__/__/arch.cc.o -MF CMakeFiles/nextpnr-himbaechel-gowin-test.dir/__/__/arch.cc.o.d -o CMakeFiles/nextpnr-himbaechel-gowin-test.dir/__/__/arch.cc.o -c /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/himbaechel/arch.cc cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/himbaechel/uarch/gowin && /gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/bin/c++ -DARCHNAME=himbaechel -DARCH_HIMBAECHEL -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DNEXTPNR_NAMESPACE=nextpnr_himbaechel -DNO_RUST -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -Dnextpnr_himbaechel_gowin_EXPORTS -I/gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/include/python3.11 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/himbaechel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/common -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/frontend/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/json/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/rust/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/json11/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/place/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/route/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/oourafft/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/himbaechel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui -isystem /gnu/store/r94kayv6y93mj1hgc13k11klha366dsw-eigen-3.4.0/include/eigen3 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtWidgets -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtGui -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtCore -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/lib/qt5/mkspecs/linux-g++ -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -fdiagnostics-color=always -fPIC -MD -MT himbaechel/uarch/gowin/CMakeFiles/nextpnr-himbaechel-gowin.dir/__/__/arch.cc.o -MF CMakeFiles/nextpnr-himbaechel-gowin.dir/__/__/arch.cc.o.d -o CMakeFiles/nextpnr-himbaechel-gowin.dir/__/__/arch.cc.o -c /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/himbaechel/arch.cc cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/himbaechel/uarch/gowin && /gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/bin/c++ -DARCHNAME=himbaechel -DARCH_HIMBAECHEL -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DGTEST_LINKED_AS_SHARED_LIBRARY=1 -DNEXTPNR_NAMESPACE=nextpnr_himbaechel -DNO_RUST -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -Dnextpnr_himbaechel_gowin_test_EXPORTS -I/gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/include/python3.11 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/himbaechel/uarch/gowin/gtest_include_dir -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/himbaechel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/common -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/frontend/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/json/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/rust/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/json11/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/place/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/route/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/oourafft/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/himbaechel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui -isystem /gnu/store/r94kayv6y93mj1hgc13k11klha366dsw-eigen-3.4.0/include/eigen3 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtWidgets -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtGui -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtCore -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/lib/qt5/mkspecs/linux-g++ -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -fdiagnostics-color=always -fPIC -MD -MT himbaechel/uarch/gowin/CMakeFiles/nextpnr-himbaechel-gowin-test.dir/__/__/himbaechel_api.cc.o -MF CMakeFiles/nextpnr-himbaechel-gowin-test.dir/__/__/himbaechel_api.cc.o.d -o CMakeFiles/nextpnr-himbaechel-gowin-test.dir/__/__/himbaechel_api.cc.o -c /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/himbaechel/himbaechel_api.cc cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/himbaechel/uarch/gowin && /gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/bin/c++ -DARCHNAME=himbaechel -DARCH_HIMBAECHEL -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DGTEST_LINKED_AS_SHARED_LIBRARY=1 -DNEXTPNR_NAMESPACE=nextpnr_himbaechel -DNO_RUST -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -Dnextpnr_himbaechel_gowin_test_EXPORTS -I/gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/include/python3.11 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/himbaechel/uarch/gowin/gtest_include_dir -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/himbaechel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/common -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/frontend/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/json/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/rust/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/json11/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/place/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/route/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/oourafft/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/himbaechel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui -isystem /gnu/store/r94kayv6y93mj1hgc13k11klha366dsw-eigen-3.4.0/include/eigen3 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtWidgets -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtGui -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtCore -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/lib/qt5/mkspecs/linux-g++ -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -fdiagnostics-color=always -fPIC -MD -MT himbaechel/uarch/gowin/CMakeFiles/nextpnr-himbaechel-gowin-test.dir/__/__/himbaechel_helpers.cc.o -MF CMakeFiles/nextpnr-himbaechel-gowin-test.dir/__/__/himbaechel_helpers.cc.o.d -o CMakeFiles/nextpnr-himbaechel-gowin-test.dir/__/__/himbaechel_helpers.cc.o -c /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/himbaechel/himbaechel_helpers.cc cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/himbaechel/uarch/gowin && /gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/bin/c++ -DARCHNAME=himbaechel -DARCH_HIMBAECHEL -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DNEXTPNR_NAMESPACE=nextpnr_himbaechel -DNO_RUST -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -Dnextpnr_himbaechel_gowin_EXPORTS -I/gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/include/python3.11 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/himbaechel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/common -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/frontend/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/json/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/rust/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/json11/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/place/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/route/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/oourafft/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/himbaechel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui -isystem /gnu/store/r94kayv6y93mj1hgc13k11klha366dsw-eigen-3.4.0/include/eigen3 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtWidgets -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtGui -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtCore -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/lib/qt5/mkspecs/linux-g++ -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -fdiagnostics-color=always -fPIC -MD -MT himbaechel/uarch/gowin/CMakeFiles/nextpnr-himbaechel-gowin.dir/__/__/arch_pybindings.cc.o -MF CMakeFiles/nextpnr-himbaechel-gowin.dir/__/__/arch_pybindings.cc.o.d -o CMakeFiles/nextpnr-himbaechel-gowin.dir/__/__/arch_pybindings.cc.o -c /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/himbaechel/arch_pybindings.cc cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/himbaechel/uarch/gowin && /gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/bin/c++ -DARCHNAME=himbaechel -DARCH_HIMBAECHEL -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DGTEST_LINKED_AS_SHARED_LIBRARY=1 -DNEXTPNR_NAMESPACE=nextpnr_himbaechel -DNO_RUST -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -Dnextpnr_himbaechel_gowin_test_EXPORTS -I/gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/include/python3.11 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/himbaechel/uarch/gowin/gtest_include_dir -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/himbaechel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/common -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/frontend/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/json/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/rust/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/json11/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/place/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/route/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/oourafft/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/himbaechel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui -isystem /gnu/store/r94kayv6y93mj1hgc13k11klha366dsw-eigen-3.4.0/include/eigen3 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtWidgets -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtGui -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtCore -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/lib/qt5/mkspecs/linux-g++ -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -fdiagnostics-color=always -fPIC -MD -MT himbaechel/uarch/gowin/CMakeFiles/nextpnr-himbaechel-gowin-test.dir/__/__/arch_pybindings.cc.o -MF CMakeFiles/nextpnr-himbaechel-gowin-test.dir/__/__/arch_pybindings.cc.o.d -o CMakeFiles/nextpnr-himbaechel-gowin-test.dir/__/__/arch_pybindings.cc.o -c /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/himbaechel/arch_pybindings.cc cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/himbaechel/uarch/gowin && /gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/bin/c++ -DARCHNAME=himbaechel -DARCH_HIMBAECHEL -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DNEXTPNR_NAMESPACE=nextpnr_himbaechel -DNO_RUST -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -Dnextpnr_himbaechel_gowin_EXPORTS -I/gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/include/python3.11 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/himbaechel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/common -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/frontend/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/json/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/rust/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/json11/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/place/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/route/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/oourafft/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/himbaechel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui -isystem /gnu/store/r94kayv6y93mj1hgc13k11klha366dsw-eigen-3.4.0/include/eigen3 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtWidgets -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtGui -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtCore -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/lib/qt5/mkspecs/linux-g++ -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -fdiagnostics-color=always -fPIC -MD -MT himbaechel/uarch/gowin/CMakeFiles/nextpnr-himbaechel-gowin.dir/__/__/main.cc.o -MF CMakeFiles/nextpnr-himbaechel-gowin.dir/__/__/main.cc.o.d -o CMakeFiles/nextpnr-himbaechel-gowin.dir/__/__/main.cc.o -c /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/himbaechel/main.cc cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/himbaechel/uarch/gowin && /gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/bin/c++ -DARCHNAME=himbaechel -DARCH_HIMBAECHEL -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DGTEST_LINKED_AS_SHARED_LIBRARY=1 -DNEXTPNR_NAMESPACE=nextpnr_himbaechel -DNO_RUST -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -Dnextpnr_himbaechel_gowin_test_EXPORTS -I/gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/include/python3.11 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/himbaechel/uarch/gowin/gtest_include_dir -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/himbaechel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/common -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/frontend/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/json/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/rust/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/json11/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/place/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/route/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/oourafft/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/himbaechel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui -isystem /gnu/store/r94kayv6y93mj1hgc13k11klha366dsw-eigen-3.4.0/include/eigen3 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtWidgets -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtGui -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtCore -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/lib/qt5/mkspecs/linux-g++ -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -fdiagnostics-color=always -fPIC -MD -MT himbaechel/uarch/gowin/CMakeFiles/nextpnr-himbaechel-gowin-test.dir/cst.cc.o -MF CMakeFiles/nextpnr-himbaechel-gowin-test.dir/cst.cc.o.d -o CMakeFiles/nextpnr-himbaechel-gowin-test.dir/cst.cc.o -c /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/himbaechel/uarch/gowin/cst.cc cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/himbaechel/uarch/gowin && /gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/bin/c++ -DARCHNAME=himbaechel -DARCH_HIMBAECHEL -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DGTEST_LINKED_AS_SHARED_LIBRARY=1 -DNEXTPNR_NAMESPACE=nextpnr_himbaechel -DNO_RUST -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -Dnextpnr_himbaechel_gowin_test_EXPORTS -I/gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/include/python3.11 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/himbaechel/uarch/gowin/gtest_include_dir -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/himbaechel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/common -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/frontend/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/json/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/rust/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/json11/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/place/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/route/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/oourafft/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/himbaechel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui -isystem /gnu/store/r94kayv6y93mj1hgc13k11klha366dsw-eigen-3.4.0/include/eigen3 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtWidgets -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtGui -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtCore -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/lib/qt5/mkspecs/linux-g++ -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -fdiagnostics-color=always -fPIC -MD -MT himbaechel/uarch/gowin/CMakeFiles/nextpnr-himbaechel-gowin-test.dir/__/__/__/common/kernel/design_utils.cc.o -MF CMakeFiles/nextpnr-himbaechel-gowin-test.dir/__/__/__/common/kernel/design_utils.cc.o.d -o CMakeFiles/nextpnr-himbaechel-gowin-test.dir/__/__/__/common/kernel/design_utils.cc.o -c /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/design_utils.cc [ 36%] Building CXX object himbaechel/uarch/gowin/CMakeFiles/nextpnr-himbaechel-gowin.dir/gowin.cc.o cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/himbaechel/uarch/gowin && /gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/bin/c++ -DARCHNAME=himbaechel -DARCH_HIMBAECHEL -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DNEXTPNR_NAMESPACE=nextpnr_himbaechel -DNO_RUST -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -Dnextpnr_himbaechel_gowin_EXPORTS -I/gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/include/python3.11 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/himbaechel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/common -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/frontend/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/json/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/rust/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/json11/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/place/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/route/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/oourafft/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/himbaechel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui -isystem /gnu/store/r94kayv6y93mj1hgc13k11klha366dsw-eigen-3.4.0/include/eigen3 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtWidgets -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtGui -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtCore -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/lib/qt5/mkspecs/linux-g++ -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -fdiagnostics-color=always -fPIC -MD -MT himbaechel/uarch/gowin/CMakeFiles/nextpnr-himbaechel-gowin.dir/gowin.cc.o -MF CMakeFiles/nextpnr-himbaechel-gowin.dir/gowin.cc.o.d -o CMakeFiles/nextpnr-himbaechel-gowin.dir/gowin.cc.o -c /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/himbaechel/uarch/gowin/gowin.cc make[2]: Leaving directory '/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build' [ 36%] Built target nextpnr-ecp5-gui make[2]: Leaving directory '/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build' [ 36%] Building CXX object himbaechel/uarch/gowin/CMakeFiles/nextpnr-himbaechel-gowin-test.dir/__/__/__/common/kernel/handle_error.cc.o [ 36%] Building CXX object himbaechel/uarch/gowin/CMakeFiles/nextpnr-himbaechel-gowin-test.dir/__/__/__/common/kernel/embed.cc.o cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/himbaechel/uarch/gowin && /gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/bin/c++ -DARCHNAME=himbaechel -DARCH_HIMBAECHEL -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DGTEST_LINKED_AS_SHARED_LIBRARY=1 -DNEXTPNR_NAMESPACE=nextpnr_himbaechel -DNO_RUST -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -Dnextpnr_himbaechel_gowin_test_EXPORTS -I/gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/include/python3.11 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/himbaechel/uarch/gowin/gtest_include_dir -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/himbaechel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/common -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/frontend/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/json/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/rust/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/json11/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/place/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/route/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/oourafft/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/himbaechel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui -isystem /gnu/store/r94kayv6y93mj1hgc13k11klha366dsw-eigen-3.4.0/include/eigen3 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtWidgets -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtGui -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtCore -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/lib/qt5/mkspecs/linux-g++ -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -fdiagnostics-color=always -fPIC -MD -MT himbaechel/uarch/gowin/CMakeFiles/nextpnr-himbaechel-gowin-test.dir/__/__/__/common/kernel/handle_error.cc.o -MF CMakeFiles/nextpnr-himbaechel-gowin-test.dir/__/__/__/common/kernel/handle_error.cc.o.d -o CMakeFiles/nextpnr-himbaechel-gowin-test.dir/__/__/__/common/kernel/handle_error.cc.o -c /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/handle_error.cc cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/himbaechel/uarch/gowin && /gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/bin/c++ -DARCHNAME=himbaechel -DARCH_HIMBAECHEL -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DGTEST_LINKED_AS_SHARED_LIBRARY=1 -DNEXTPNR_NAMESPACE=nextpnr_himbaechel -DNO_RUST -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -Dnextpnr_himbaechel_gowin_test_EXPORTS -I/gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/include/python3.11 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/himbaechel/uarch/gowin/gtest_include_dir -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/himbaechel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/common -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/frontend/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/json/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/rust/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/json11/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/place/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/route/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/oourafft/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/himbaechel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui -isystem /gnu/store/r94kayv6y93mj1hgc13k11klha366dsw-eigen-3.4.0/include/eigen3 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtWidgets -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtGui -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtCore -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/lib/qt5/mkspecs/linux-g++ -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -fdiagnostics-color=always -fPIC -MD -MT himbaechel/uarch/gowin/CMakeFiles/nextpnr-himbaechel-gowin-test.dir/__/__/__/common/kernel/embed.cc.o -MF CMakeFiles/nextpnr-himbaechel-gowin-test.dir/__/__/__/common/kernel/embed.cc.o.d -o CMakeFiles/nextpnr-himbaechel-gowin-test.dir/__/__/__/common/kernel/embed.cc.o -c /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/embed.cc [ 36%] Built target nextpnr-himbaechel-ng-ultra-gui [ 36%] Building CXX object himbaechel/uarch/gowin/CMakeFiles/nextpnr-himbaechel-gowin.dir/__/__/__/common/kernel/archcheck.cc.o [ 36%] Building CXX object himbaechel/uarch/gowin/CMakeFiles/nextpnr-himbaechel-gowin.dir/globals.cc.o [ 36%] Building CXX object himbaechel/uarch/gowin/CMakeFiles/nextpnr-himbaechel-gowin.dir/pack.cc.o [ 36%] Building CXX object himbaechel/uarch/gowin/CMakeFiles/nextpnr-himbaechel-gowin.dir/gowin_utils.cc.o cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/himbaechel/uarch/gowin && /gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/bin/c++ -DARCHNAME=himbaechel -DARCH_HIMBAECHEL -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DNEXTPNR_NAMESPACE=nextpnr_himbaechel -DNO_RUST -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -Dnextpnr_himbaechel_gowin_EXPORTS -I/gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/include/python3.11 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/himbaechel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/common -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/frontend/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/json/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/rust/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/json11/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/place/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/route/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/oourafft/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/himbaechel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui -isystem /gnu/store/r94kayv6y93mj1hgc13k11klha366dsw-eigen-3.4.0/include/eigen3 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtWidgets -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtGui -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtCore -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/lib/qt5/mkspecs/linux-g++ -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -fdiagnostics-color=always -fPIC -MD -MT himbaechel/uarch/gowin/CMakeFiles/nextpnr-himbaechel-gowin.dir/pack.cc.o -MF CMakeFiles/nextpnr-himbaechel-gowin.dir/pack.cc.o.d -o CMakeFiles/nextpnr-himbaechel-gowin.dir/pack.cc.o -c /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/himbaechel/uarch/gowin/pack.cc cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/himbaechel/uarch/gowin && /gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/bin/c++ -DARCHNAME=himbaechel -DARCH_HIMBAECHEL -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DNEXTPNR_NAMESPACE=nextpnr_himbaechel -DNO_RUST -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -Dnextpnr_himbaechel_gowin_EXPORTS -I/gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/include/python3.11 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/himbaechel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/common -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/frontend/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/json/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/rust/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/json11/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/place/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/route/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/oourafft/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/himbaechel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui -isystem /gnu/store/r94kayv6y93mj1hgc13k11klha366dsw-eigen-3.4.0/include/eigen3 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtWidgets -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtGui -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtCore -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/lib/qt5/mkspecs/linux-g++ -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -fdiagnostics-color=always -fPIC -MD -MT himbaechel/uarch/gowin/CMakeFiles/nextpnr-himbaechel-gowin.dir/__/__/__/common/kernel/archcheck.cc.o -MF CMakeFiles/nextpnr-himbaechel-gowin.dir/__/__/__/common/kernel/archcheck.cc.o.d -o CMakeFiles/nextpnr-himbaechel-gowin.dir/__/__/__/common/kernel/archcheck.cc.o -c /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/archcheck.cc cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/himbaechel/uarch/gowin && /gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/bin/c++ -DARCHNAME=himbaechel -DARCH_HIMBAECHEL -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DNEXTPNR_NAMESPACE=nextpnr_himbaechel -DNO_RUST -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -Dnextpnr_himbaechel_gowin_EXPORTS -I/gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/include/python3.11 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/himbaechel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/common -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/frontend/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/json/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/rust/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/json11/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/place/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/route/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/oourafft/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/himbaechel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui -isystem /gnu/store/r94kayv6y93mj1hgc13k11klha366dsw-eigen-3.4.0/include/eigen3 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtWidgets -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtGui -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtCore -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/lib/qt5/mkspecs/linux-g++ -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -fdiagnostics-color=always -fPIC -MD -MT himbaechel/uarch/gowin/CMakeFiles/nextpnr-himbaechel-gowin.dir/gowin_utils.cc.o -MF CMakeFiles/nextpnr-himbaechel-gowin.dir/gowin_utils.cc.o.d -o CMakeFiles/nextpnr-himbaechel-gowin.dir/gowin_utils.cc.o -c /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/himbaechel/uarch/gowin/gowin_utils.cc cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/himbaechel/uarch/gowin && /gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/bin/c++ -DARCHNAME=himbaechel -DARCH_HIMBAECHEL -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DNEXTPNR_NAMESPACE=nextpnr_himbaechel -DNO_RUST -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -Dnextpnr_himbaechel_gowin_EXPORTS -I/gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/include/python3.11 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/himbaechel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/common -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/frontend/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/json/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/rust/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/json11/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/place/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/route/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/oourafft/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/himbaechel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui -isystem /gnu/store/r94kayv6y93mj1hgc13k11klha366dsw-eigen-3.4.0/include/eigen3 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtWidgets -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtGui -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtCore -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/lib/qt5/mkspecs/linux-g++ -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -fdiagnostics-color=always -fPIC -MD -MT himbaechel/uarch/gowin/CMakeFiles/nextpnr-himbaechel-gowin.dir/globals.cc.o -MF CMakeFiles/nextpnr-himbaechel-gowin.dir/globals.cc.o.d -o CMakeFiles/nextpnr-himbaechel-gowin.dir/globals.cc.o -c /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/himbaechel/uarch/gowin/globals.cc [ 36%] Building CXX object himbaechel/uarch/gowin/CMakeFiles/nextpnr-himbaechel-gowin-test.dir/__/__/__/common/kernel/idstringlist.cc.o cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/himbaechel/uarch/gowin && /gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/bin/c++ -DARCHNAME=himbaechel -DARCH_HIMBAECHEL -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DGTEST_LINKED_AS_SHARED_LIBRARY=1 -DNEXTPNR_NAMESPACE=nextpnr_himbaechel -DNO_RUST -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -Dnextpnr_himbaechel_gowin_test_EXPORTS -I/gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/include/python3.11 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/himbaechel/uarch/gowin/gtest_include_dir -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/himbaechel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/common -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/frontend/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/json/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/rust/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/json11/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/place/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/route/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/oourafft/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/himbaechel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui -isystem /gnu/store/r94kayv6y93mj1hgc13k11klha366dsw-eigen-3.4.0/include/eigen3 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtWidgets -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtGui -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtCore -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/lib/qt5/mkspecs/linux-g++ -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -fdiagnostics-color=always -fPIC -MD -MT himbaechel/uarch/gowin/CMakeFiles/nextpnr-himbaechel-gowin-test.dir/__/__/__/common/kernel/idstringlist.cc.o -MF CMakeFiles/nextpnr-himbaechel-gowin-test.dir/__/__/__/common/kernel/idstringlist.cc.o.d -o CMakeFiles/nextpnr-himbaechel-gowin-test.dir/__/__/__/common/kernel/idstringlist.cc.o -c /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/idstringlist.cc [ 36%] Building CXX object himbaechel/uarch/gowin/CMakeFiles/nextpnr-himbaechel-gowin-test.dir/__/__/__/common/kernel/log.cc.o [ 37%] Building CXX object himbaechel/uarch/gowin/CMakeFiles/nextpnr-himbaechel-gowin-test.dir/__/__/__/common/kernel/idstring.cc.o cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/himbaechel/uarch/gowin && /gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/bin/c++ -DARCHNAME=himbaechel -DARCH_HIMBAECHEL -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DGTEST_LINKED_AS_SHARED_LIBRARY=1 -DNEXTPNR_NAMESPACE=nextpnr_himbaechel -DNO_RUST -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -Dnextpnr_himbaechel_gowin_test_EXPORTS -I/gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/include/python3.11 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/himbaechel/uarch/gowin/gtest_include_dir -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/himbaechel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/common -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/frontend/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/json/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/rust/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/json11/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/place/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/route/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/oourafft/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/himbaechel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui -isystem /gnu/store/r94kayv6y93mj1hgc13k11klha366dsw-eigen-3.4.0/include/eigen3 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtWidgets -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtGui -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtCore -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/lib/qt5/mkspecs/linux-g++ -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -fdiagnostics-color=always -fPIC -MD -MT himbaechel/uarch/gowin/CMakeFiles/nextpnr-himbaechel-gowin-test.dir/__/__/__/common/kernel/log.cc.o -MF CMakeFiles/nextpnr-himbaechel-gowin-test.dir/__/__/__/common/kernel/log.cc.o.d -o CMakeFiles/nextpnr-himbaechel-gowin-test.dir/__/__/__/common/kernel/log.cc.o -c /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/log.cc cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/himbaechel/uarch/gowin && /gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/bin/c++ -DARCHNAME=himbaechel -DARCH_HIMBAECHEL -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DGTEST_LINKED_AS_SHARED_LIBRARY=1 -DNEXTPNR_NAMESPACE=nextpnr_himbaechel -DNO_RUST -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -Dnextpnr_himbaechel_gowin_test_EXPORTS -I/gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/include/python3.11 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/himbaechel/uarch/gowin/gtest_include_dir -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/himbaechel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/common -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/frontend/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/json/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/rust/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/json11/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/place/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/route/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/oourafft/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/himbaechel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui -isystem /gnu/store/r94kayv6y93mj1hgc13k11klha366dsw-eigen-3.4.0/include/eigen3 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtWidgets -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtGui -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtCore -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/lib/qt5/mkspecs/linux-g++ -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -fdiagnostics-color=always -fPIC -MD -MT himbaechel/uarch/gowin/CMakeFiles/nextpnr-himbaechel-gowin-test.dir/__/__/__/common/kernel/idstring.cc.o -MF CMakeFiles/nextpnr-himbaechel-gowin-test.dir/__/__/__/common/kernel/idstring.cc.o.d -o CMakeFiles/nextpnr-himbaechel-gowin-test.dir/__/__/__/common/kernel/idstring.cc.o -c /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/idstring.cc [ 37%] Building CXX object himbaechel/uarch/gowin/CMakeFiles/nextpnr-himbaechel-gowin-test.dir/__/__/__/common/kernel/nextpnr_assertions.cc.o [ 37%] Building CXX object himbaechel/uarch/gowin/CMakeFiles/nextpnr-himbaechel-gowin-test.dir/__/__/__/common/kernel/context.cc.o cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/himbaechel/uarch/gowin && /gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/bin/c++ -DARCHNAME=himbaechel -DARCH_HIMBAECHEL -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DGTEST_LINKED_AS_SHARED_LIBRARY=1 -DNEXTPNR_NAMESPACE=nextpnr_himbaechel -DNO_RUST -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -Dnextpnr_himbaechel_gowin_test_EXPORTS -I/gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/include/python3.11 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/himbaechel/uarch/gowin/gtest_include_dir -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/himbaechel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/common -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/frontend/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/json/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/rust/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/json11/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/place/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/route/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/oourafft/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/himbaechel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui -isystem /gnu/store/r94kayv6y93mj1hgc13k11klha366dsw-eigen-3.4.0/include/eigen3 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtWidgets -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtGui -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtCore -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/lib/qt5/mkspecs/linux-g++ -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -fdiagnostics-color=always -fPIC -MD -MT himbaechel/uarch/gowin/CMakeFiles/nextpnr-himbaechel-gowin-test.dir/__/__/__/common/kernel/nextpnr_assertions.cc.o -MF CMakeFiles/nextpnr-himbaechel-gowin-test.dir/__/__/__/common/kernel/nextpnr_assertions.cc.o.d -o CMakeFiles/nextpnr-himbaechel-gowin-test.dir/__/__/__/common/kernel/nextpnr_assertions.cc.o -c /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/nextpnr_assertions.cc cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/himbaechel/uarch/gowin && /gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/bin/c++ -DARCHNAME=himbaechel -DARCH_HIMBAECHEL -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DGTEST_LINKED_AS_SHARED_LIBRARY=1 -DNEXTPNR_NAMESPACE=nextpnr_himbaechel -DNO_RUST -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -Dnextpnr_himbaechel_gowin_test_EXPORTS -I/gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/include/python3.11 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/himbaechel/uarch/gowin/gtest_include_dir -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/himbaechel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/common -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/frontend/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/json/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/rust/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/json11/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/place/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/route/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/oourafft/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/himbaechel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui -isystem /gnu/store/r94kayv6y93mj1hgc13k11klha366dsw-eigen-3.4.0/include/eigen3 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtWidgets -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtGui -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtCore -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/lib/qt5/mkspecs/linux-g++ -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -fdiagnostics-color=always -fPIC -MD -MT himbaechel/uarch/gowin/CMakeFiles/nextpnr-himbaechel-gowin-test.dir/__/__/__/common/kernel/context.cc.o -MF CMakeFiles/nextpnr-himbaechel-gowin-test.dir/__/__/__/common/kernel/context.cc.o.d -o CMakeFiles/nextpnr-himbaechel-gowin-test.dir/__/__/__/common/kernel/context.cc.o -c /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/context.cc [ 37%] Building CXX object himbaechel/uarch/gowin/CMakeFiles/nextpnr-himbaechel-gowin.dir/__/__/__/common/kernel/basectx.cc.o cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/himbaechel/uarch/gowin && /gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/bin/c++ -DARCHNAME=himbaechel -DARCH_HIMBAECHEL -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DNEXTPNR_NAMESPACE=nextpnr_himbaechel -DNO_RUST -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -Dnextpnr_himbaechel_gowin_EXPORTS -I/gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/include/python3.11 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/himbaechel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/common -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/frontend/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/json/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/rust/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/json11/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/place/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/route/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/oourafft/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/himbaechel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui -isystem /gnu/store/r94kayv6y93mj1hgc13k11klha366dsw-eigen-3.4.0/include/eigen3 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtWidgets -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtGui -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtCore -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/lib/qt5/mkspecs/linux-g++ -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -fdiagnostics-color=always -fPIC -MD -MT himbaechel/uarch/gowin/CMakeFiles/nextpnr-himbaechel-gowin.dir/__/__/__/common/kernel/basectx.cc.o -MF CMakeFiles/nextpnr-himbaechel-gowin.dir/__/__/__/common/kernel/basectx.cc.o.d -o CMakeFiles/nextpnr-himbaechel-gowin.dir/__/__/__/common/kernel/basectx.cc.o -c /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/basectx.cc [ 38%] Building CXX object himbaechel/uarch/gowin/CMakeFiles/nextpnr-himbaechel-gowin.dir/__/__/__/common/kernel/command.cc.o [ 38%] Building CXX object himbaechel/uarch/gowin/CMakeFiles/nextpnr-himbaechel-gowin.dir/__/__/__/common/kernel/bits.cc.o cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/himbaechel/uarch/gowin && /gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/bin/c++ -DARCHNAME=himbaechel -DARCH_HIMBAECHEL -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DNEXTPNR_NAMESPACE=nextpnr_himbaechel -DNO_RUST -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -Dnextpnr_himbaechel_gowin_EXPORTS -I/gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/include/python3.11 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/himbaechel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/common -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/frontend/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/json/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/rust/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/json11/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/place/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/route/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/oourafft/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/himbaechel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui -isystem /gnu/store/r94kayv6y93mj1hgc13k11klha366dsw-eigen-3.4.0/include/eigen3 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtWidgets -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtGui -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtCore -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/lib/qt5/mkspecs/linux-g++ -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -fdiagnostics-color=always -fPIC -MD -MT himbaechel/uarch/gowin/CMakeFiles/nextpnr-himbaechel-gowin.dir/__/__/__/common/kernel/command.cc.o -MF CMakeFiles/nextpnr-himbaechel-gowin.dir/__/__/__/common/kernel/command.cc.o.d -o CMakeFiles/nextpnr-himbaechel-gowin.dir/__/__/__/common/kernel/command.cc.o -c /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/command.cc cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/himbaechel/uarch/gowin && /gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/bin/c++ -DARCHNAME=himbaechel -DARCH_HIMBAECHEL -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DNEXTPNR_NAMESPACE=nextpnr_himbaechel -DNO_RUST -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -Dnextpnr_himbaechel_gowin_EXPORTS -I/gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/include/python3.11 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/himbaechel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/common -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/frontend/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/json/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/rust/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/json11/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/place/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/route/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/oourafft/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/himbaechel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui -isystem /gnu/store/r94kayv6y93mj1hgc13k11klha366dsw-eigen-3.4.0/include/eigen3 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtWidgets -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtGui -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtCore -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/lib/qt5/mkspecs/linux-g++ -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -fdiagnostics-color=always -fPIC -MD -MT himbaechel/uarch/gowin/CMakeFiles/nextpnr-himbaechel-gowin.dir/__/__/__/common/kernel/bits.cc.o -MF CMakeFiles/nextpnr-himbaechel-gowin.dir/__/__/__/common/kernel/bits.cc.o.d -o CMakeFiles/nextpnr-himbaechel-gowin.dir/__/__/__/common/kernel/bits.cc.o -c /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/bits.cc [ 38%] Building CXX object himbaechel/uarch/gowin/CMakeFiles/nextpnr-himbaechel-gowin.dir/cst.cc.o [ 38%] Building CXX object himbaechel/uarch/gowin/CMakeFiles/nextpnr-himbaechel-gowin.dir/__/__/__/common/kernel/context.cc.o cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/himbaechel/uarch/gowin && /gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/bin/c++ -DARCHNAME=himbaechel -DARCH_HIMBAECHEL -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DNEXTPNR_NAMESPACE=nextpnr_himbaechel -DNO_RUST -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -Dnextpnr_himbaechel_gowin_EXPORTS -I/gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/include/python3.11 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/himbaechel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/common -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/frontend/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/json/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/rust/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/json11/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/place/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/route/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/oourafft/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/himbaechel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui -isystem /gnu/store/r94kayv6y93mj1hgc13k11klha366dsw-eigen-3.4.0/include/eigen3 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtWidgets -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtGui -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtCore -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/lib/qt5/mkspecs/linux-g++ -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -fdiagnostics-color=always -fPIC -MD -MT himbaechel/uarch/gowin/CMakeFiles/nextpnr-himbaechel-gowin.dir/__/__/__/common/kernel/context.cc.o -MF CMakeFiles/nextpnr-himbaechel-gowin.dir/__/__/__/common/kernel/context.cc.o.d -o CMakeFiles/nextpnr-himbaechel-gowin.dir/__/__/__/common/kernel/context.cc.o -c /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/context.cc cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/himbaechel/uarch/gowin && /gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/bin/c++ -DARCHNAME=himbaechel -DARCH_HIMBAECHEL -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DNEXTPNR_NAMESPACE=nextpnr_himbaechel -DNO_RUST -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -Dnextpnr_himbaechel_gowin_EXPORTS -I/gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/include/python3.11 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/himbaechel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/common -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/frontend/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/json/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/rust/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/json11/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/place/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/route/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/oourafft/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/himbaechel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui -isystem /gnu/store/r94kayv6y93mj1hgc13k11klha366dsw-eigen-3.4.0/include/eigen3 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtWidgets -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtGui -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtCore -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/lib/qt5/mkspecs/linux-g++ -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -fdiagnostics-color=always -fPIC -MD -MT himbaechel/uarch/gowin/CMakeFiles/nextpnr-himbaechel-gowin.dir/cst.cc.o -MF CMakeFiles/nextpnr-himbaechel-gowin.dir/cst.cc.o.d -o CMakeFiles/nextpnr-himbaechel-gowin.dir/cst.cc.o -c /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/himbaechel/uarch/gowin/cst.cc [ 38%] Building CXX object himbaechel/uarch/gowin/CMakeFiles/nextpnr-himbaechel-gowin.dir/__/__/himbaechel_helpers.cc.o cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/himbaechel/uarch/gowin && /gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/bin/c++ -DARCHNAME=himbaechel -DARCH_HIMBAECHEL -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DNEXTPNR_NAMESPACE=nextpnr_himbaechel -DNO_RUST -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -Dnextpnr_himbaechel_gowin_EXPORTS -I/gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/include/python3.11 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/himbaechel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/common -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/frontend/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/json/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/rust/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/json11/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/place/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/route/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/oourafft/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/himbaechel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui -isystem /gnu/store/r94kayv6y93mj1hgc13k11klha366dsw-eigen-3.4.0/include/eigen3 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtWidgets -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtGui -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtCore -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/lib/qt5/mkspecs/linux-g++ -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -fdiagnostics-color=always -fPIC -MD -MT himbaechel/uarch/gowin/CMakeFiles/nextpnr-himbaechel-gowin.dir/__/__/himbaechel_helpers.cc.o -MF CMakeFiles/nextpnr-himbaechel-gowin.dir/__/__/himbaechel_helpers.cc.o.d -o CMakeFiles/nextpnr-himbaechel-gowin.dir/__/__/himbaechel_helpers.cc.o -c /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/himbaechel/himbaechel_helpers.cc [ 38%] Building CXX object himbaechel/uarch/gowin/CMakeFiles/nextpnr-himbaechel-gowin.dir/__/__/__/common/kernel/handle_error.cc.o cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/himbaechel/uarch/gowin && /gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/bin/c++ -DARCHNAME=himbaechel -DARCH_HIMBAECHEL -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DNEXTPNR_NAMESPACE=nextpnr_himbaechel -DNO_RUST -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -Dnextpnr_himbaechel_gowin_EXPORTS -I/gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/include/python3.11 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/himbaechel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/common -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/frontend/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/json/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/rust/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/json11/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/place/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/route/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/oourafft/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/himbaechel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui -isystem /gnu/store/r94kayv6y93mj1hgc13k11klha366dsw-eigen-3.4.0/include/eigen3 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtWidgets -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtGui -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtCore -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/lib/qt5/mkspecs/linux-g++ -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -fdiagnostics-color=always -fPIC -MD -MT himbaechel/uarch/gowin/CMakeFiles/nextpnr-himbaechel-gowin.dir/__/__/__/common/kernel/handle_error.cc.o -MF CMakeFiles/nextpnr-himbaechel-gowin.dir/__/__/__/common/kernel/handle_error.cc.o.d -o CMakeFiles/nextpnr-himbaechel-gowin.dir/__/__/__/common/kernel/handle_error.cc.o -c /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/handle_error.cc [ 38%] Building CXX object himbaechel/uarch/gowin/CMakeFiles/nextpnr-himbaechel-gowin.dir/__/__/__/common/kernel/design_utils.cc.o [ 38%] Building CXX object himbaechel/uarch/gowin/CMakeFiles/nextpnr-himbaechel-gowin.dir/__/__/__/common/kernel/embed.cc.o cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/himbaechel/uarch/gowin && /gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/bin/c++ -DARCHNAME=himbaechel -DARCH_HIMBAECHEL -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DNEXTPNR_NAMESPACE=nextpnr_himbaechel -DNO_RUST -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -Dnextpnr_himbaechel_gowin_EXPORTS -I/gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/include/python3.11 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/himbaechel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/common -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/frontend/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/json/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/rust/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/json11/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/place/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/route/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/oourafft/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/himbaechel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui -isystem /gnu/store/r94kayv6y93mj1hgc13k11klha366dsw-eigen-3.4.0/include/eigen3 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtWidgets -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtGui -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtCore -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/lib/qt5/mkspecs/linux-g++ -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -fdiagnostics-color=always -fPIC -MD -MT himbaechel/uarch/gowin/CMakeFiles/nextpnr-himbaechel-gowin.dir/__/__/__/common/kernel/embed.cc.o -MF CMakeFiles/nextpnr-himbaechel-gowin.dir/__/__/__/common/kernel/embed.cc.o.d -o CMakeFiles/nextpnr-himbaechel-gowin.dir/__/__/__/common/kernel/embed.cc.o -c /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/embed.cc cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/himbaechel/uarch/gowin && /gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/bin/c++ -DARCHNAME=himbaechel -DARCH_HIMBAECHEL -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DNEXTPNR_NAMESPACE=nextpnr_himbaechel -DNO_RUST -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -Dnextpnr_himbaechel_gowin_EXPORTS -I/gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/include/python3.11 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/himbaechel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/common -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/frontend/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/json/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/rust/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/json11/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/place/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/route/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/oourafft/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/himbaechel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui -isystem /gnu/store/r94kayv6y93mj1hgc13k11klha366dsw-eigen-3.4.0/include/eigen3 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtWidgets -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtGui -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtCore -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/lib/qt5/mkspecs/linux-g++ -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -fdiagnostics-color=always -fPIC -MD -MT himbaechel/uarch/gowin/CMakeFiles/nextpnr-himbaechel-gowin.dir/__/__/__/common/kernel/design_utils.cc.o -MF CMakeFiles/nextpnr-himbaechel-gowin.dir/__/__/__/common/kernel/design_utils.cc.o.d -o CMakeFiles/nextpnr-himbaechel-gowin.dir/__/__/__/common/kernel/design_utils.cc.o -c /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/design_utils.cc Generate nodes for CGB[73x6]... Generate nodes for CGB[74x10]... Generate nodes for CGB[74x18]... Generate nodes for CGB[74x22]... Generate nodes for CGB[74x30]... Generate nodes for CGB[74x34]... Generate nodes for CGB[74x42]... Generate nodes for CGB[74x46]... Generate nodes for CGB[74x6]... Generate nodes for CGB[75x10]... Generate nodes for CGB[75x18]... Generate nodes for CGB[75x22]... Generate nodes for CGB[75x30]... Generate nodes for CGB[75x34]... Generate nodes for CGB[75x42]... Generate nodes for CGB[75x46]... Generate nodes for CGB[75x6]... Generate nodes for CGB[76x10]... Generate nodes for CGB[76x18]... Generate nodes for CGB[76x22]... Generate nodes for CGB[76x30]... Generate nodes for CGB[76x34]... Generate nodes for CGB[76x42]... Generate nodes for CGB[76x46]... Generate nodes for CGB[76x6]... Generate nodes for CGB[77x10]... Generate nodes for CGB[77x18]... Generate nodes for CGB[77x22]... Generate nodes for CGB[77x30]... Generate nodes for CGB[77x34]... Generate nodes for CGB[77x42]... Generate nodes for CGB[77x46]... Generate nodes for CGB[77x6]... Generate nodes for CGB[78x10]... Generate nodes for CGB[78x18]... Generate nodes for CGB[78x22]... Generate nodes for CGB[78x30]... Generate nodes for CGB[78x34]... Generate nodes for CGB[78x42]... Generate nodes for CGB[78x46]... Generate nodes for CGB[78x6]... Generate nodes for CGB[79x10]... Generate nodes for CGB[79x18]... Generate nodes for CGB[79x22]... Generate nodes for CGB[79x30]... Generate nodes for CGB[79x34]... Generate nodes for CGB[79x42]... Generate nodes for CGB[79x46]... Generate nodes for CGB[79x6]... Generate nodes for CGB[7x10]... Generate nodes for CGB[7x18]... Generate nodes for CGB[7x22]... Generate nodes for CGB[7x30]... Generate nodes for CGB[7x34]... Generate nodes for CGB[7x42]... Generate nodes for CGB[7x46]... Generate nodes for CGB[7x6]... Generate nodes for CGB[80x10]... Generate nodes for CGB[80x18]... Generate nodes for CGB[80x22]... Generate nodes for CGB[80x30]... Generate nodes for CGB[80x34]... Generate nodes for CGB[80x42]... Generate nodes for CGB[80x46]... Generate nodes for CGB[80x6]... Generate nodes for CGB[81x10]... Generate nodes for CGB[81x18]... Generate nodes for CGB[81x22]... Generate nodes for CGB[81x30]... Generate nodes for CGB[81x34]... Generate nodes for CGB[81x42]... Generate nodes for CGB[81x46]... Generate nodes for CGB[81x6]... Generate nodes for CGB[82x10]... Generate nodes for CGB[82x18]... Generate nodes for CGB[82x22]... Generate nodes for CGB[82x30]... Generate nodes for CGB[82x34]... Generate nodes for CGB[82x42]... Generate nodes for CGB[82x46]... Generate nodes for CGB[82x6]... Generate nodes for CGB[83x10]... Generate nodes for CGB[83x18]... Generate nodes for CGB[83x22]... Generate nodes for CGB[83x30]... Generate nodes for CGB[83x34]... Generate nodes for CGB[83x42]... Generate nodes for CGB[83x46]... Generate nodes for CGB[83x6]... Generate nodes for CGB[84x10]... Generate nodes for CGB[84x18]... Generate nodes for CGB[84x22]... Generate nodes for CGB[84x30]... Generate nodes for CGB[84x34]... Generate nodes for CGB[84x42]... Generate nodes for CGB[84x46]... Generate nodes for CGB[84x6]... Generate nodes for CGB[85x10]... Generate nodes for CGB[85x18]... Generate nodes for CGB[85x22]... Generate nodes for CGB[85x30]... Generate nodes for CGB[85x34]... Generate nodes for CGB[85x42]... Generate nodes for CGB[85x46]... Generate nodes for CGB[85x6]... Generate nodes for CGB[86x10]... Generate nodes for CGB[86x18]... Generate nodes for CGB[86x22]... Generate nodes for CGB[86x30]... Generate nodes for CGB[86x34]... Generate nodes for CGB[86x42]... Generate nodes for CGB[86x46]... Generate nodes for CGB[86x6]... Generate nodes for CGB[87x10]... Generate nodes for CGB[87x18]... Generate nodes for CGB[87x22]... Generate nodes for CGB[87x30]... Generate nodes for CGB[87x34]... Generate nodes for CGB[87x42]... Generate nodes for CGB[87x46]... Generate nodes for CGB[87x6]... Generate nodes for CGB[88x10]... Generate nodes for CGB[88x18]... Generate nodes for CGB[88x22]... Generate nodes for CGB[88x30]... Generate nodes for CGB[88x34]... Generate nodes for CGB[88x42]... Generate nodes for CGB[88x46]... Generate nodes for CGB[88x6]... Generate nodes for CGB[89x10]... Generate nodes for CGB[89x18]... Generate nodes for CGB[89x22]... Generate nodes for CGB[89x30]... Generate nodes for CGB[89x34]... Generate nodes for CGB[89x42]... Generate nodes for CGB[89x46]... Generate nodes for CGB[89x6]... Generate nodes for CGB[8x10]... Generate nodes for CGB[8x18]... Generate nodes for CGB[8x22]... Generate nodes for CGB[8x30]... Generate nodes for CGB[8x34]... Generate nodes for CGB[8x42]... Generate nodes for CGB[8x46]... Generate nodes for CGB[8x6]... Generate nodes for CGB[90x10]... Generate nodes for CGB[90x18]... Generate nodes for CGB[90x22]... Generate nodes for CGB[90x30]... Generate nodes for CGB[90x34]... Generate nodes for CGB[90x42]... Generate nodes for CGB[90x46]... Generate nodes for CGB[90x6]... Generate nodes for CGB[91x10]... Generate nodes for CGB[91x18]... Generate nodes for CGB[91x22]... Generate nodes for CGB[91x42]... Generate nodes for CGB[91x46]... Generate nodes for CGB[91x6]... Generate nodes for CGB[92x10]... Generate nodes for CGB[92x18]... Generate nodes for CGB[92x22]... Generate nodes for CGB[92x42]... Generate nodes for CGB[92x46]... Generate nodes for CGB[92x6]... Generate nodes for CGB[9x10]... Generate nodes for CGB[9x18]... Generate nodes for CGB[9x22]... Generate nodes for CGB[9x30]... Generate nodes for CGB[9x34]... Generate nodes for CGB[9x42]... Generate nodes for CGB[9x46]... Generate nodes for CGB[9x6]... Generate nodes for CKG1... Generate nodes for CKG2... Generate nodes for CKG3... Generate nodes for CKG4... Generate nodes for CKG5... Generate nodes for CKG6... Generate nodes for CKG7... Generate nodes for FENCE[10xB]... Generate nodes for FENCE[10xT]... Generate nodes for FENCE[11xB]... Generate nodes for FENCE[11xT]... Generate nodes for FENCE[12xB]... Generate nodes for FENCE[12xT]... Generate nodes for FENCE[13xB]... Generate nodes for FENCE[13xT]... Generate nodes for FENCE[14xB]... Generate nodes for FENCE[14xT]... Generate nodes for FENCE[15xB]... Generate nodes for FENCE[15xT]... Generate nodes for FENCE[16xB]... Generate nodes for FENCE[16xT]... Generate nodes for FENCE[17xB]... Generate nodes for FENCE[17xT]... Generate nodes for FENCE[18xB]... Generate nodes for FENCE[18xT]... Generate nodes for FENCE[19xB]... Generate nodes for FENCE[19xT]... Generate nodes for FENCE[1xB]... Generate nodes for FENCE[1xL]... Generate nodes for FENCE[1xT]... Generate nodes for FENCE[1xU]... Generate nodes for FENCE[20xB]... Generate nodes for FENCE[20xT]... Generate nodes for FENCE[21xB]... Generate nodes for FENCE[21xT]... Generate nodes for FENCE[22xB]... Generate nodes for FENCE[22xT]... Generate nodes for FENCE[23xB]... Generate nodes for FENCE[23xT]... Generate nodes for FENCE[24xB]... Generate nodes for FENCE[24xT]... Generate nodes for FENCE[25xB]... Generate nodes for FENCE[25xT]... Generate nodes for FENCE[26xB]... Generate nodes for FENCE[26xT]... Generate nodes for FENCE[27xB]... Generate nodes for FENCE[27xT]... Generate nodes for FENCE[28xB]... Generate nodes for FENCE[28xT]... Generate nodes for FENCE[29xB]... Generate nodes for FENCE[29xT]... Generate nodes for FENCE[2xB]... Generate nodes for FENCE[2xL]... Generate nodes for FENCE[2xT]... Generate nodes for FENCE[2xU]... Generate nodes for FENCE[30xB]... Generate nodes for FENCE[30xT]... Generate nodes for FENCE[31xB]... Generate nodes for FENCE[31xT]... Generate nodes for FENCE[32xB]... Generate nodes for FENCE[32xT]... Generate nodes for FENCE[33xB]... Generate nodes for FENCE[33xT]... Generate nodes for FENCE[34xB]... Generate nodes for FENCE[34xT]... Generate nodes for FENCE[35xB]... Generate nodes for FENCE[35xT]... Generate nodes for FENCE[36xB]... Generate nodes for FENCE[36xT]... Generate nodes for FENCE[37xB]... Generate nodes for FENCE[37xT]... Generate nodes for FENCE[38xB]... Generate nodes for FENCE[38xT]... Generate nodes for FENCE[39xB]... Generate nodes for FENCE[39xT]... Generate nodes for FENCE[3xB]... Generate nodes for FENCE[3xT]...[ 38%] Building CXX object himbaechel/uarch/gowin/CMakeFiles/nextpnr-himbaechel-gowin-test.dir/__/__/__/common/kernel/nextpnr_types.cc.o cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/himbaechel/uarch/gowin && /gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/bin/c++ -DARCHNAME=himbaechel -DARCH_HIMBAECHEL -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DGTEST_LINKED_AS_SHARED_LIBRARY=1 -DNEXTPNR_NAMESPACE=nextpnr_himbaechel -DNO_RUST -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -Dnextpnr_himbaechel_gowin_test_EXPORTS -I/gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/include/python3.11 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/himbaechel/uarch/gowin/gtest_include_dir -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/himbaechel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/common -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/frontend/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/json/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/rust/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/json11/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/place/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/route/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/oourafft/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/himbaechel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui -isystem /gnu/store/r94kayv6y93mj1hgc13k11klha366dsw-eigen-3.4.0/include/eigen3 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtWidgets -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtGui -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtCore -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/lib/qt5/mkspecs/linux-g++ -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -fdiagnostics-color=always -fPIC -MD -MT himbaechel/uarch/gowin/CMakeFiles/nextpnr-himbaechel-gowin-test.dir/__/__/__/common/kernel/nextpnr_types.cc.o -MF CMakeFiles/nextpnr-himbaechel-gowin-test.dir/__/__/__/common/kernel/nextpnr_types.cc.o.d -o CMakeFiles/nextpnr-himbaechel-gowin-test.dir/__/__/__/common/kernel/nextpnr_types.cc.o -c /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/nextpnr_types.cc In file included from /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/himbaechel/himbaechel_api.h:23, from /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/himbaechel/uarch/gowin/gowin.cc:4: /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/himbaechel/uarch/gowin/gowin.h:187:14: warning: ignoring packed attribute because of unpacked non-POD field ‘nextpnr_himbaechel::IdString nextpnr_himbaechel::{anonymous}::Extra_chip_data_POD::dcs_prefix’ 187 | IdString dcs_prefix; | ^~~~~~~~~~ /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/nextpnr_namespaces.h:42:33: note: in definition of macro ‘NPNR_PACKED_STRUCT’ 42 | #define NPNR_PACKED_STRUCT(...) __VA_ARGS__ __attribute__((packed)) | ^~~~~~~~~~~ In file included from /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/nextpnr_assertions.h:27, from /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/hashlib.h:22, from /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/log.h:30, from /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/himbaechel/uarch/gowin/gowin_utils.cc:1: /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/himbaechel/uarch/gowin/gowin.h:187:14: warning: ignoring packed attribute because of unpacked non-POD field ‘nextpnr_himbaechel::IdString nextpnr_himbaechel::{anonymous}::Extra_chip_data_POD::dcs_prefix’ 187 | IdString dcs_prefix; | ^~~~~~~~~~ /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/nextpnr_namespaces.h:42:33: note: in definition of macro ‘NPNR_PACKED_STRUCT’ 42 | #define NPNR_PACKED_STRUCT(...) __VA_ARGS__ __attribute__((packed)) | ^~~~~~~~~~~ In file included from /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/nextpnr_assertions.h:27, from /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/hashlib.h:22, from /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/log.h:30, from /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/himbaechel/uarch/gowin/globals.cc:20: /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/himbaechel/uarch/gowin/gowin.h:187:14: warning: ignoring packed attribute because of unpacked non-POD field ‘nextpnr_himbaechel::IdString nextpnr_himbaechel::{anonymous}::Extra_chip_data_POD::dcs_prefix’ 187 | IdString dcs_prefix; | ^~~~~~~~~~ /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/nextpnr_namespaces.h:42:33: note: in definition of macro ‘NPNR_PACKED_STRUCT’ 42 | #define NPNR_PACKED_STRUCT(...) __VA_ARGS__ __attribute__((packed)) | ^~~~~~~~~~~ In file included from /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/nextpnr_assertions.h:27, from /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/hashlib.h:22, from /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/log.h:30, from /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/himbaechel/uarch/gowin/cst.cc:5: /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/himbaechel/uarch/gowin/gowin.h:187:14: warning: ignoring packed attribute because of unpacked non-POD field ‘nextpnr_himbaechel::IdString nextpnr_himbaechel::{anonymous}::Extra_chip_data_POD::dcs_prefix’ 187 | IdString dcs_prefix; | ^~~~~~~~~~ /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/nextpnr_namespaces.h:42:33: note: in definition of macro ‘NPNR_PACKED_STRUCT’ 42 | #define NPNR_PACKED_STRUCT(...) __VA_ARGS__ __attribute__((packed)) | ^~~~~~~~~~~ In file included from /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/nextpnr_assertions.h:27, from /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/hashlib.h:22, from /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/basectx.h:31, from /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/arch_api.h:26, from /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/base_arch.h:27, from /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/nextpnr.h:23, from /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/design_utils.h:21, from /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/himbaechel/uarch/gowin/pack.cc:3: /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/himbaechel/uarch/gowin/gowin.h:187:14: warning: ignoring packed attribute because of unpacked non-POD field ‘nextpnr_himbaechel::IdString nextpnr_himbaechel::{anonymous}::Extra_chip_data_POD::dcs_prefix’ 187 | IdString dcs_prefix; | ^~~~~~~~~~ /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/nextpnr_namespaces.h:42:33: note: in definition of macro ‘NPNR_PACKED_STRUCT’ 42 | #define NPNR_PACKED_STRUCT(...) __VA_ARGS__ __attribute__((packed)) | ^~~~~~~~~~~ In file included from /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/nextpnr_assertions.h:27, from /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/hashlib.h:22, from /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/log.h:30, from /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/himbaechel/uarch/gowin/cst.cc:5: /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/himbaechel/uarch/gowin/gowin.h:187:14: warning: ignoring packed attribute because of unpacked non-POD field ‘nextpnr_himbaechel::IdString nextpnr_himbaechel::{anonymous}::Extra_chip_data_POD::dcs_prefix’ 187 | IdString dcs_prefix; | ^~~~~~~~~~ /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/nextpnr_namespaces.h:42:33: note: in definition of macro ‘NPNR_PACKED_STRUCT’ 42 | #define NPNR_PACKED_STRUCT(...) __VA_ARGS__ __attribute__((packed)) | ^~~~~~~~~~~ [ 39%] Building CXX object himbaechel/uarch/gowin/CMakeFiles/nextpnr-himbaechel-gowin.dir/__/__/himbaechel_api.cc.o cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/himbaechel/uarch/gowin && /gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/bin/c++ -DARCHNAME=himbaechel -DARCH_HIMBAECHEL -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DNEXTPNR_NAMESPACE=nextpnr_himbaechel -DNO_RUST -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -Dnextpnr_himbaechel_gowin_EXPORTS -I/gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/include/python3.11 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/himbaechel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/common -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/frontend/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/json/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/rust/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/json11/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/place/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/route/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/oourafft/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/himbaechel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui -isystem /gnu/store/r94kayv6y93mj1hgc13k11klha366dsw-eigen-3.4.0/include/eigen3 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtWidgets -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtGui -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtCore -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/lib/qt5/mkspecs/linux-g++ -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -fdiagnostics-color=always -fPIC -MD -MT himbaechel/uarch/gowin/CMakeFiles/nextpnr-himbaechel-gowin.dir/__/__/himbaechel_api.cc.o -MF CMakeFiles/nextpnr-himbaechel-gowin.dir/__/__/himbaechel_api.cc.o.d -o CMakeFiles/nextpnr-himbaechel-gowin.dir/__/__/himbaechel_api.cc.o -c /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/himbaechel/himbaechel_api.cc [ 39%] Building CXX object himbaechel/uarch/gowin/CMakeFiles/nextpnr-himbaechel-gowin.dir/__/__/__/common/kernel/idstringlist.cc.o [ 39%] Building CXX object himbaechel/uarch/gowin/CMakeFiles/nextpnr-himbaechel-gowin.dir/__/__/__/common/kernel/idstring.cc.o cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/himbaechel/uarch/gowin && /gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/bin/c++ -DARCHNAME=himbaechel -DARCH_HIMBAECHEL -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DNEXTPNR_NAMESPACE=nextpnr_himbaechel -DNO_RUST -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -Dnextpnr_himbaechel_gowin_EXPORTS -I/gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/include/python3.11 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/himbaechel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/common -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/frontend/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/json/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/rust/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/json11/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/place/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/route/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/oourafft/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/himbaechel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui -isystem /gnu/store/r94kayv6y93mj1hgc13k11klha366dsw-eigen-3.4.0/include/eigen3 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtWidgets -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtGui -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtCore -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/lib/qt5/mkspecs/linux-g++ -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -fdiagnostics-color=always -fPIC -MD -MT himbaechel/uarch/gowin/CMakeFiles/nextpnr-himbaechel-gowin.dir/__/__/__/common/kernel/idstring.cc.o -MF CMakeFiles/nextpnr-himbaechel-gowin.dir/__/__/__/common/kernel/idstring.cc.o.d -o CMakeFiles/nextpnr-himbaechel-gowin.dir/__/__/__/common/kernel/idstring.cc.o -c /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/idstring.cc cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/himbaechel/uarch/gowin && /gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/bin/c++ -DARCHNAME=himbaechel -DARCH_HIMBAECHEL -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DNEXTPNR_NAMESPACE=nextpnr_himbaechel -DNO_RUST -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -Dnextpnr_himbaechel_gowin_EXPORTS -I/gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/include/python3.11 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/himbaechel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/common -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/frontend/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/json/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/rust/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/json11/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/place/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/route/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/oourafft/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/himbaechel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui -isystem /gnu/store/r94kayv6y93mj1hgc13k11klha366dsw-eigen-3.4.0/include/eigen3 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtWidgets -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtGui -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtCore -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/lib/qt5/mkspecs/linux-g++ -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -fdiagnostics-color=always -fPIC -MD -MT himbaechel/uarch/gowin/CMakeFiles/nextpnr-himbaechel-gowin.dir/__/__/__/common/kernel/idstringlist.cc.o -MF CMakeFiles/nextpnr-himbaechel-gowin.dir/__/__/__/common/kernel/idstringlist.cc.o.d -o CMakeFiles/nextpnr-himbaechel-gowin.dir/__/__/__/common/kernel/idstringlist.cc.o -c /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/idstringlist.cc Generate nodes for FENCE[40xB]... Generate nodes for FENCE[40xT]... Generate nodes for FENCE[41xB]... Generate nodes for FENCE[41xT]... Generate nodes for FENCE[42xB]... Generate nodes for FENCE[42xT]... Generate nodes for FENCE[43xB]... Generate nodes for FENCE[43xT]... Generate nodes for FENCE[44xB]... Generate nodes for FENCE[44xT]... Generate nodes for FENCE[45xB]... Generate nodes for FENCE[45xT]... Generate nodes for FENCE[46xB]... Generate nodes for FENCE[46xT]... Generate nodes for FENCE[47xB]... Generate nodes for FENCE[47xT]... Generate nodes for FENCE[48xB]... Generate nodes for FENCE[48xT]... Generate nodes for FENCE[49xB]... Generate nodes for FENCE[49xT]... Generate nodes for FENCE[4xB]... Generate nodes for FENCE[4xT]... Generate nodes for FENCE[50xB]... Generate nodes for FENCE[50xT]... Generate nodes for FENCE[51xB]... Generate nodes for FENCE[51xT]... Generate nodes for FENCE[52xB]... Generate nodes for FENCE[52xT]... Generate nodes for FENCE[53xB]... Generate nodes for FENCE[53xT]... Generate nodes for FENCE[54xB]... Generate nodes for FENCE[54xT]... Generate nodes for FENCE[55xB]... Generate nodes for FENCE[55xT]... Generate nodes for FENCE[56xB]... Generate nodes for FENCE[56xT]... Generate nodes for FENCE[57xB]... Generate nodes for FENCE[57xT]... Generate nodes for FENCE[58xB]... Generate nodes for FENCE[58xT]... Generate nodes for FENCE[59xB]... Generate nodes for FENCE[59xT]... Generate nodes for FENCE[5xB]... Generate nodes for FENCE[5xT]... Generate nodes for FENCE[60xB]... Generate nodes for FENCE[60xT]... Generate nodes for FENCE[61xB]... Generate nodes for FENCE[61xT]... Generate nodes for FENCE[62xB]... Generate nodes for FENCE[62xT]... Generate nodes for FENCE[63xB]... Generate nodes for FENCE[63xT]... Generate nodes for FENCE[64xB]... Generate nodes for FENCE[64xT]... Generate nodes for FENCE[65xB]... Generate nodes for FENCE[65xT]... Generate nodes for FENCE[66xB]... Generate nodes for FENCE[66xT]... Generate nodes for FENCE[67xB]... Generate nodes for FENCE[67xT]... Generate nodes for FENCE[68xB]... Generate nodes for FENCE[68xT]... Generate nodes for FENCE[69xB]... Generate nodes for FENCE[69xT]... Generate nodes for FENCE[6xB]... Generate nodes for FENCE[6xT]... Generate nodes for FENCE[70xB]... Generate nodes for FENCE[70xT]... Generate nodes for FENCE[71xB]... Generate nodes for FENCE[71xT]... Generate nodes for FENCE[72xB]... Generate nodes for FENCE[72xT]... Generate nodes for FENCE[73xB]... Generate nodes for FENCE[73xT]... Generate nodes for FENCE[74xB]... Generate nodes for FENCE[74xT]... Generate nodes for FENCE[75xB]... Generate nodes for FENCE[75xT]... Generate nodes for FENCE[76xB]... Generate nodes for FENCE[76xT]... Generate nodes for FENCE[77xB]... Generate nodes for FENCE[77xT]... Generate nodes for FENCE[78xB]... Generate nodes for FENCE[78xT]... Generate nodes for FENCE[79xB]... Generate nodes for FENCE[79xT]... Generate nodes for FENCE[7xB]... Generate nodes for FENCE[7xT]... Generate nodes for FENCE[80xB]... Generate nodes for FENCE[80xT]... Generate nodes for FENCE[81xB]... Generate nodes for FENCE[81xT]... Generate nodes for FENCE[82xB]... Generate nodes for FENCE[82xT]... Generate nodes for FENCE[83xB]... Generate nodes for FENCE[83xT]... Generate nodes for FENCE[84xB]... Generate nodes for FENCE[84xT]... Generate nodes for FENCE[85xB]... Generate nodes for FENCE[85xT]... Generate nodes for FENCE[86xB]... Generate nodes for FENCE[86xT]... Generate nodes for FENCE[87xB]... Generate nodes for FENCE[87xT]... Generate nodes for FENCE[88xB]... Generate nodes for FENCE[88xT]... Generate nodes for FENCE[89xB]... Generate nodes for FENCE[89xT]... Generate nodes for FENCE[8xB]... Generate nodes for FENCE[8xT]... Generate nodes for FENCE[90xB]... Generate nodes for FENCE[90xT]... Generate nodes for FENCE[91xB]... Generate nodes for FENCE[91xL]... Generate nodes for FENCE[91xT]... Generate nodes for FENCE[91xU]... Generate nodes for FENCE[92xB]... Generate nodes for FENCE[92xL]... Generate nodes for FENCE[92xT]... Generate nodes for FENCE[92xU]... Generate nodes for FENCE[9xB]... Generate nodes for FENCE[9xT]... Generate nodes for FENCE[B1]... Generate nodes for FENCE[B2]... Generate nodes for FENCE[B3]... Generate nodes for FENCE[B4]... Generate nodes for FENCE[B5]... Generate nodes for FENCE[Lx26]... Generate nodes for FENCE[Lx28]... Generate nodes for FENCE[Lx32]... Generate nodes for FENCE[Lx36]... Generate nodes for FENCE[Rx26]... Generate nodes for FENCE[Rx28]... Generate nodes for FENCE[Rx32]... Generate nodes for FENCE[Rx36]... Generate nodes for FENCE[T1]... Generate nodes for FENCE[T2]... Generate nodes for FENCE[T3]... Generate nodes for FENCE[T4]... Generate nodes for FENCE[T5]... Generate nodes for HSSL0... Generate nodes for HSSL1... Generate nodes for HSSL2... Generate nodes for HSSL3... Generate nodes for HSSL4... Generate nodes for HSSL5... Generate nodes for HSSL6... Generate nodes for HSSL7... Generate nodes for IOB0... Generate nodes for IOB1... Generate nodes for IOB10... Generate nodes for IOB11... Generate nodes for IOB12... Generate nodes for IOB13... Generate nodes for IOB2... Generate nodes for IOB3... Generate nodes for IOB4... Generate nodes for IOB5... Generate nodes for IOB6... Generate nodes for IOB7... Generate nodes for IOB8... Generate nodes for IOB9... Generate nodes for MESH[10x11]... Generate nodes for MESH[10x13]... Generate nodes for MESH[10x15]... Generate nodes for MESH[10x17]... Generate nodes for MESH[10x19]... Generate nodes for MESH[10x1]... Generate nodes for MESH[10x21]... Generate nodes for MESH[10x23]... Generate nodes for MESH[10x25]... Generate nodes for MESH[10x27]... Generate nodes for MESH[10x29]... Generate nodes for MESH[10x31]... Generate nodes for MESH[10x33]... Generate nodes for MESH[10x35]... Generate nodes for MESH[10x37]... Generate nodes for MESH[10x39]... Generate nodes for MESH[10x3]... Generate nodes for MESH[10x41]... Generate nodes for MESH[10x43]... Generate nodes for MESH[10x45]... Generate nodes for MESH[10x47]... Generate nodes for MESH[10x49]... Generate nodes for MESH[10x5]... Generate nodes for MESH[10x7]... Generate nodes for MESH[10x9]... Generate nodes for MESH[11x11]... Generate nodes for MESH[11x13]... Generate nodes for MESH[11x15]... Generate nodes for MESH[11x17]... Generate nodes for MESH[11x19]... Generate nodes for MESH[11x1]... Generate nodes for MESH[11x21]... Generate nodes for MESH[11x23]... Generate nodes for MESH[11x25]... Generate nodes for MESH[11x27]... Generate nodes for MESH[11x29]... Generate nodes for MESH[11x31]... Generate nodes for MESH[11x33]... Generate nodes for MESH[11x35]... Generate nodes for MESH[11x37]... Generate nodes for MESH[11x39]... Generate nodes for MESH[11x3]... Generate nodes for MESH[11x41]... Generate nodes for MESH[11x43]... Generate nodes for MESH[11x45]... Generate nodes for MESH[11x47]... Generate nodes for MESH[11x49]... Generate nodes for MESH[11x5]... Generate nodes for MESH[11x7]... Generate nodes for MESH[11x9]... Generate nodes for MESH[12x11]... Generate nodes for MESH[12x13]... Generate nodes for MESH[12x15]... Generate nodes for MESH[12x17]... Generate nodes for MESH[12x19]... Generate nodes for MESH[12x1]... Generate nodes for MESH[12x21]... Generate nodes for MESH[12x23]... Generate nodes for MESH[12x25]... Generate nodes for MESH[12x27]... Generate nodes for MESH[12x29]... Generate nodes for MESH[12x31]... Generate nodes for MESH[12x33]... Generate nodes for MESH[12x35]... Generate nodes for MESH[12x37]... Generate nodes for MESH[12x39]... Generate nodes for MESH[12x3]... Generate nodes for MESH[12x41]... Generate nodes for MESH[12x43]... Generate nodes for MESH[12x45]... Generate nodes for MESH[12x47]... Generate nodes for MESH[12x49]... Generate nodes for MESH[12x5]... Generate nodes for MESH[12x7]... Generate nodes for MESH[12x9]... Generate nodes for MESH[13x11]... Generate nodes for MESH[13x13]... Generate nodes for MESH[13x15]... Generate nodes for MESH[13x17]... Generate nodes for MESH[13x19]... Generate nodes for MESH[13x1]... Generate nodes for MESH[13x21]... Generate nodes for MESH[13x23]... Generate nodes for MESH[13x25]... Generate nodes for MESH[13x27]...[ 39%] Building CXX object himbaechel/uarch/gowin/CMakeFiles/nextpnr-himbaechel-gowin.dir/__/__/__/common/kernel/log.cc.o [ 39%] Building CXX object himbaechel/uarch/gowin/CMakeFiles/nextpnr-himbaechel-gowin-test.dir/__/__/__/common/kernel/nextpnr_namespaces.cc.o cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/himbaechel/uarch/gowin && /gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/bin/c++ -DARCHNAME=himbaechel -DARCH_HIMBAECHEL -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DGTEST_LINKED_AS_SHARED_LIBRARY=1 -DNEXTPNR_NAMESPACE=nextpnr_himbaechel -DNO_RUST -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -Dnextpnr_himbaechel_gowin_test_EXPORTS -I/gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/include/python3.11 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/himbaechel/uarch/gowin/gtest_include_dir -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/himbaechel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/common -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/frontend/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/json/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/rust/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/json11/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/place/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/route/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/oourafft/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/himbaechel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui -isystem /gnu/store/r94kayv6y93mj1hgc13k11klha366dsw-eigen-3.4.0/include/eigen3 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtWidgets -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtGui -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtCore -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/lib/qt5/mkspecs/linux-g++ -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -fdiagnostics-color=always -fPIC -MD -MT himbaechel/uarch/gowin/CMakeFiles/nextpnr-himbaechel-gowin-test.dir/__/__/__/common/kernel/nextpnr_namespaces.cc.o -MF CMakeFiles/nextpnr-himbaechel-gowin-test.dir/__/__/__/common/kernel/nextpnr_namespaces.cc.o.d -o CMakeFiles/nextpnr-himbaechel-gowin-test.dir/__/__/__/common/kernel/nextpnr_namespaces.cc.o -c /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/nextpnr_namespaces.cc cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/himbaechel/uarch/gowin && /gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/bin/c++ -DARCHNAME=himbaechel -DARCH_HIMBAECHEL -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DNEXTPNR_NAMESPACE=nextpnr_himbaechel -DNO_RUST -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -Dnextpnr_himbaechel_gowin_EXPORTS -I/gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/include/python3.11 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/himbaechel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/common -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/frontend/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/json/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/rust/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/json11/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/place/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/route/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/oourafft/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/himbaechel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui -isystem /gnu/store/r94kayv6y93mj1hgc13k11klha366dsw-eigen-3.4.0/include/eigen3 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtWidgets -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtGui -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtCore -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/lib/qt5/mkspecs/linux-g++ -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -fdiagnostics-color=always -fPIC -MD -MT himbaechel/uarch/gowin/CMakeFiles/nextpnr-himbaechel-gowin.dir/__/__/__/common/kernel/log.cc.o -MF CMakeFiles/nextpnr-himbaechel-gowin.dir/__/__/__/common/kernel/log.cc.o.d -o CMakeFiles/nextpnr-himbaechel-gowin.dir/__/__/__/common/kernel/log.cc.o -c /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/log.cc [ 40%] Building CXX object himbaechel/uarch/gowin/CMakeFiles/nextpnr-himbaechel-gowin.dir/__/__/__/common/kernel/nextpnr_assertions.cc.o cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/himbaechel/uarch/gowin && /gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/bin/c++ -DARCHNAME=himbaechel -DARCH_HIMBAECHEL -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DNEXTPNR_NAMESPACE=nextpnr_himbaechel -DNO_RUST -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -Dnextpnr_himbaechel_gowin_EXPORTS -I/gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/include/python3.11 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/himbaechel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/common -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/frontend/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/json/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/rust/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/json11/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/place/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/route/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/oourafft/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/himbaechel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui -isystem /gnu/store/r94kayv6y93mj1hgc13k11klha366dsw-eigen-3.4.0/include/eigen3 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtWidgets -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtGui -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtCore -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/lib/qt5/mkspecs/linux-g++ -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -fdiagnostics-color=always -fPIC -MD -MT himbaechel/uarch/gowin/CMakeFiles/nextpnr-himbaechel-gowin.dir/__/__/__/common/kernel/nextpnr_assertions.cc.o -MF CMakeFiles/nextpnr-himbaechel-gowin.dir/__/__/__/common/kernel/nextpnr_assertions.cc.o.d -o CMakeFiles/nextpnr-himbaechel-gowin.dir/__/__/__/common/kernel/nextpnr_assertions.cc.o -c /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/nextpnr_assertions.cc [ 41%] Building CXX object himbaechel/uarch/gowin/CMakeFiles/nextpnr-himbaechel-gowin-test.dir/__/__/__/common/kernel/pybindings.cc.o cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/himbaechel/uarch/gowin && /gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/bin/c++ -DARCHNAME=himbaechel -DARCH_HIMBAECHEL -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DGTEST_LINKED_AS_SHARED_LIBRARY=1 -DNEXTPNR_NAMESPACE=nextpnr_himbaechel -DNO_RUST -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -Dnextpnr_himbaechel_gowin_test_EXPORTS -I/gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/include/python3.11 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/himbaechel/uarch/gowin/gtest_include_dir -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/himbaechel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/common -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/frontend/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/json/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/rust/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/json11/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/place/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/route/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/oourafft/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/himbaechel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui -isystem /gnu/store/r94kayv6y93mj1hgc13k11klha366dsw-eigen-3.4.0/include/eigen3 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtWidgets -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtGui -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtCore -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/lib/qt5/mkspecs/linux-g++ -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -fdiagnostics-color=always -fPIC -MD -MT himbaechel/uarch/gowin/CMakeFiles/nextpnr-himbaechel-gowin-test.dir/__/__/__/common/kernel/pybindings.cc.o -MF CMakeFiles/nextpnr-himbaechel-gowin-test.dir/__/__/__/common/kernel/pybindings.cc.o.d -o CMakeFiles/nextpnr-himbaechel-gowin-test.dir/__/__/__/common/kernel/pybindings.cc.o -c /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/pybindings.cc [ 41%] Building CXX object himbaechel/uarch/gowin/CMakeFiles/nextpnr-himbaechel-gowin-test.dir/__/__/__/common/kernel/report.cc.o cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/himbaechel/uarch/gowin && /gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/bin/c++ -DARCHNAME=himbaechel -DARCH_HIMBAECHEL -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DGTEST_LINKED_AS_SHARED_LIBRARY=1 -DNEXTPNR_NAMESPACE=nextpnr_himbaechel -DNO_RUST -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -Dnextpnr_himbaechel_gowin_test_EXPORTS -I/gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/include/python3.11 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/himbaechel/uarch/gowin/gtest_include_dir -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/himbaechel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/common -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/frontend/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/json/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/rust/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/json11/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/place/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/route/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/oourafft/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/himbaechel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui -isystem /gnu/store/r94kayv6y93mj1hgc13k11klha366dsw-eigen-3.4.0/include/eigen3 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtWidgets -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtGui -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtCore -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/lib/qt5/mkspecs/linux-g++ -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -fdiagnostics-color=always -fPIC -MD -MT himbaechel/uarch/gowin/CMakeFiles/nextpnr-himbaechel-gowin-test.dir/__/__/__/common/kernel/report.cc.o -MF CMakeFiles/nextpnr-himbaechel-gowin-test.dir/__/__/__/common/kernel/report.cc.o.d -o CMakeFiles/nextpnr-himbaechel-gowin-test.dir/__/__/__/common/kernel/report.cc.o -c /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/report.cc [ 41%] Building CXX object himbaechel/uarch/gowin/CMakeFiles/nextpnr-himbaechel-gowin-test.dir/__/__/__/common/kernel/nextpnr.cc.o [ 41%] Building CXX object himbaechel/uarch/gowin/CMakeFiles/nextpnr-himbaechel-gowin-test.dir/__/__/__/common/kernel/property.cc.o cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/himbaechel/uarch/gowin && /gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/bin/c++ -DARCHNAME=himbaechel -DARCH_HIMBAECHEL -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DGTEST_LINKED_AS_SHARED_LIBRARY=1 -DNEXTPNR_NAMESPACE=nextpnr_himbaechel -DNO_RUST -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -Dnextpnr_himbaechel_gowin_test_EXPORTS -I/gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/include/python3.11 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/himbaechel/uarch/gowin/gtest_include_dir -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/himbaechel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/common -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/frontend/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/json/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/rust/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/json11/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/place/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/route/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/oourafft/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/himbaechel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui -isystem /gnu/store/r94kayv6y93mj1hgc13k11klha366dsw-eigen-3.4.0/include/eigen3 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtWidgets -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtGui -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtCore -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/lib/qt5/mkspecs/linux-g++ -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -fdiagnostics-color=always -fPIC -MD -MT himbaechel/uarch/gowin/CMakeFiles/nextpnr-himbaechel-gowin-test.dir/__/__/__/common/kernel/property.cc.o -MF CMakeFiles/nextpnr-himbaechel-gowin-test.dir/__/__/__/common/kernel/property.cc.o.d -o CMakeFiles/nextpnr-himbaechel-gowin-test.dir/__/__/__/common/kernel/property.cc.o -c /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/property.cc cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/himbaechel/uarch/gowin && /gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/bin/c++ -DARCHNAME=himbaechel -DARCH_HIMBAECHEL -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DGTEST_LINKED_AS_SHARED_LIBRARY=1 -DNEXTPNR_NAMESPACE=nextpnr_himbaechel -DNO_RUST -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -Dnextpnr_himbaechel_gowin_test_EXPORTS -I/gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/include/python3.11 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/himbaechel/uarch/gowin/gtest_include_dir -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/himbaechel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/common -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/frontend/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/json/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/rust/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/json11/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/place/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/route/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/oourafft/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/himbaechel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui -isystem /gnu/store/r94kayv6y93mj1hgc13k11klha366dsw-eigen-3.4.0/include/eigen3 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtWidgets -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtGui -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtCore -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/lib/qt5/mkspecs/linux-g++ -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -fdiagnostics-color=always -fPIC -MD -MT himbaechel/uarch/gowin/CMakeFiles/nextpnr-himbaechel-gowin-test.dir/__/__/__/common/kernel/nextpnr.cc.o -MF CMakeFiles/nextpnr-himbaechel-gowin-test.dir/__/__/__/common/kernel/nextpnr.cc.o.d -o CMakeFiles/nextpnr-himbaechel-gowin-test.dir/__/__/__/common/kernel/nextpnr.cc.o -c /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/nextpnr.cc [ 41%] Building CXX object himbaechel/uarch/gowin/CMakeFiles/nextpnr-himbaechel-gowin-test.dir/__/__/__/common/kernel/sdc.cc.o cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/himbaechel/uarch/gowin && /gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/bin/c++ -DARCHNAME=himbaechel -DARCH_HIMBAECHEL -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DGTEST_LINKED_AS_SHARED_LIBRARY=1 -DNEXTPNR_NAMESPACE=nextpnr_himbaechel -DNO_RUST -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -Dnextpnr_himbaechel_gowin_test_EXPORTS -I/gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/include/python3.11 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/himbaechel/uarch/gowin/gtest_include_dir -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/himbaechel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/common -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/frontend/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/json/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/rust/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/json11/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/place/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/route/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/oourafft/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/himbaechel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui -isystem /gnu/store/r94kayv6y93mj1hgc13k11klha366dsw-eigen-3.4.0/include/eigen3 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtWidgets -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtGui -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtCore -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/lib/qt5/mkspecs/linux-g++ -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -fdiagnostics-color=always -fPIC -MD -MT himbaechel/uarch/gowin/CMakeFiles/nextpnr-himbaechel-gowin-test.dir/__/__/__/common/kernel/sdc.cc.o -MF CMakeFiles/nextpnr-himbaechel-gowin-test.dir/__/__/__/common/kernel/sdc.cc.o.d -o CMakeFiles/nextpnr-himbaechel-gowin-test.dir/__/__/__/common/kernel/sdc.cc.o -c /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/sdc.cc [ 41%] Building CXX object himbaechel/uarch/gowin/CMakeFiles/nextpnr-himbaechel-gowin.dir/__/__/__/common/kernel/nextpnr_types.cc.o cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/himbaechel/uarch/gowin && /gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/bin/c++ -DARCHNAME=himbaechel -DARCH_HIMBAECHEL -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DNEXTPNR_NAMESPACE=nextpnr_himbaechel -DNO_RUST -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -Dnextpnr_himbaechel_gowin_EXPORTS -I/gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/include/python3.11 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/himbaechel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/common -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/frontend/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/json/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/rust/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/json11/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/place/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/route/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/oourafft/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/himbaechel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui -isystem /gnu/store/r94kayv6y93mj1hgc13k11klha366dsw-eigen-3.4.0/include/eigen3 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtWidgets -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtGui -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtCore -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/lib/qt5/mkspecs/linux-g++ -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -fdiagnostics-color=always -fPIC -MD -MT himbaechel/uarch/gowin/CMakeFiles/nextpnr-himbaechel-gowin.dir/__/__/__/common/kernel/nextpnr_types.cc.o -MF CMakeFiles/nextpnr-himbaechel-gowin.dir/__/__/__/common/kernel/nextpnr_types.cc.o.d -o CMakeFiles/nextpnr-himbaechel-gowin.dir/__/__/__/common/kernel/nextpnr_types.cc.o -c /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/nextpnr_types.cc [ 41%] Building CXX object himbaechel/uarch/gowin/CMakeFiles/nextpnr-himbaechel-gowin-test.dir/__/__/__/common/kernel/sdf.cc.o cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/himbaechel/uarch/gowin && /gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/bin/c++ -DARCHNAME=himbaechel -DARCH_HIMBAECHEL -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DGTEST_LINKED_AS_SHARED_LIBRARY=1 -DNEXTPNR_NAMESPACE=nextpnr_himbaechel -DNO_RUST -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -Dnextpnr_himbaechel_gowin_test_EXPORTS -I/gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/include/python3.11 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/himbaechel/uarch/gowin/gtest_include_dir -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/himbaechel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/common -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/frontend/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/json/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/rust/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/json11/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/place/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/route/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/oourafft/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/himbaechel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui -isystem /gnu/store/r94kayv6y93mj1hgc13k11klha366dsw-eigen-3.4.0/include/eigen3 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtWidgets -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtGui -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtCore -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/lib/qt5/mkspecs/linux-g++ -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -fdiagnostics-color=always -fPIC -MD -MT himbaechel/uarch/gowin/CMakeFiles/nextpnr-himbaechel-gowin-test.dir/__/__/__/common/kernel/sdf.cc.o -MF CMakeFiles/nextpnr-himbaechel-gowin-test.dir/__/__/__/common/kernel/sdf.cc.o.d -o CMakeFiles/nextpnr-himbaechel-gowin-test.dir/__/__/__/common/kernel/sdf.cc.o -c /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/sdf.cc [ 41%] Building CXX object himbaechel/uarch/gowin/CMakeFiles/nextpnr-himbaechel-gowin-test.dir/__/__/__/common/kernel/str_ring_buffer.cc.o cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/himbaechel/uarch/gowin && /gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/bin/c++ -DARCHNAME=himbaechel -DARCH_HIMBAECHEL -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DGTEST_LINKED_AS_SHARED_LIBRARY=1 -DNEXTPNR_NAMESPACE=nextpnr_himbaechel -DNO_RUST -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -Dnextpnr_himbaechel_gowin_test_EXPORTS -I/gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/include/python3.11 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/himbaechel/uarch/gowin/gtest_include_dir -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/himbaechel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/common -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/frontend/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/json/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/rust/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/json11/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/place/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/route/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/oourafft/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/himbaechel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui -isystem /gnu/store/r94kayv6y93mj1hgc13k11klha366dsw-eigen-3.4.0/include/eigen3 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtWidgets -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtGui -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtCore -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/lib/qt5/mkspecs/linux-g++ -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -fdiagnostics-color=always -fPIC -MD -MT himbaechel/uarch/gowin/CMakeFiles/nextpnr-himbaechel-gowin-test.dir/__/__/__/common/kernel/str_ring_buffer.cc.o -MF CMakeFiles/nextpnr-himbaechel-gowin-test.dir/__/__/__/common/kernel/str_ring_buffer.cc.o.d -o CMakeFiles/nextpnr-himbaechel-gowin-test.dir/__/__/__/common/kernel/str_ring_buffer.cc.o -c /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/str_ring_buffer.cc [ 41%] Building CXX object himbaechel/uarch/gowin/CMakeFiles/nextpnr-himbaechel-gowin-test.dir/__/__/__/common/kernel/command.cc.o cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/himbaechel/uarch/gowin && /gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/bin/c++ -DARCHNAME=himbaechel -DARCH_HIMBAECHEL -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DGTEST_LINKED_AS_SHARED_LIBRARY=1 -DNEXTPNR_NAMESPACE=nextpnr_himbaechel -DNO_RUST -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -Dnextpnr_himbaechel_gowin_test_EXPORTS -I/gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/include/python3.11 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/himbaechel/uarch/gowin/gtest_include_dir -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/himbaechel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/common -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/frontend/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/json/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/rust/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/json11/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/place/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/route/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/oourafft/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/himbaechel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui -isystem /gnu/store/r94kayv6y93mj1hgc13k11klha366dsw-eigen-3.4.0/include/eigen3 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtWidgets -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtGui -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtCore -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/lib/qt5/mkspecs/linux-g++ -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -fdiagnostics-color=always -fPIC -MD -MT himbaechel/uarch/gowin/CMakeFiles/nextpnr-himbaechel-gowin-test.dir/__/__/__/common/kernel/command.cc.o -MF CMakeFiles/nextpnr-himbaechel-gowin-test.dir/__/__/__/common/kernel/command.cc.o.d -o CMakeFiles/nextpnr-himbaechel-gowin-test.dir/__/__/__/common/kernel/command.cc.o -c /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/command.cc [ 41%] Building CXX object himbaechel/uarch/gowin/CMakeFiles/nextpnr-himbaechel-gowin-test.dir/__/__/__/common/kernel/bits.cc.o cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/himbaechel/uarch/gowin && /gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/bin/c++ -DARCHNAME=himbaechel -DARCH_HIMBAECHEL -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DGTEST_LINKED_AS_SHARED_LIBRARY=1 -DNEXTPNR_NAMESPACE=nextpnr_himbaechel -DNO_RUST -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -Dnextpnr_himbaechel_gowin_test_EXPORTS -I/gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/include/python3.11 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/himbaechel/uarch/gowin/gtest_include_dir -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/himbaechel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/common -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/frontend/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/json/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/rust/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/json11/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/place/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/route/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/oourafft/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/himbaechel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui -isystem /gnu/store/r94kayv6y93mj1hgc13k11klha366dsw-eigen-3.4.0/include/eigen3 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtWidgets -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtGui -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtCore -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/lib/qt5/mkspecs/linux-g++ -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -fdiagnostics-color=always -fPIC -MD -MT himbaechel/uarch/gowin/CMakeFiles/nextpnr-himbaechel-gowin-test.dir/__/__/__/common/kernel/bits.cc.o -MF CMakeFiles/nextpnr-himbaechel-gowin-test.dir/__/__/__/common/kernel/bits.cc.o.d -o CMakeFiles/nextpnr-himbaechel-gowin-test.dir/__/__/__/common/kernel/bits.cc.o -c /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/bits.cc [ 41%] Building CXX object himbaechel/uarch/gowin/CMakeFiles/nextpnr-himbaechel-gowin.dir/__/__/__/common/kernel/report.cc.o [ 41%] Building CXX object himbaechel/uarch/gowin/CMakeFiles/nextpnr-himbaechel-gowin.dir/__/__/__/common/kernel/pybindings.cc.o [ 41%] Building CXX object himbaechel/uarch/gowin/CMakeFiles/nextpnr-himbaechel-gowin.dir/__/__/__/common/kernel/sdc.cc.o cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/himbaechel/uarch/gowin && /gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/bin/c++ -DARCHNAME=himbaechel -DARCH_HIMBAECHEL -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DNEXTPNR_NAMESPACE=nextpnr_himbaechel -DNO_RUST -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -Dnextpnr_himbaechel_gowin_EXPORTS -I/gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/include/python3.11 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/himbaechel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/common -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/frontend/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/json/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/rust/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/json11/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/place/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/route/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/oourafft/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/himbaechel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui -isystem /gnu/store/r94kayv6y93mj1hgc13k11klha366dsw-eigen-3.4.0/include/eigen3 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtWidgets -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtGui -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtCore -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/lib/qt5/mkspecs/linux-g++ -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -fdiagnostics-color=always -fPIC -MD -MT himbaechel/uarch/gowin/CMakeFiles/nextpnr-himbaechel-gowin.dir/__/__/__/common/kernel/sdc.cc.o -MF CMakeFiles/nextpnr-himbaechel-gowin.dir/__/__/__/common/kernel/sdc.cc.o.d -o CMakeFiles/nextpnr-himbaechel-gowin.dir/__/__/__/common/kernel/sdc.cc.o -c /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/sdc.cc cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/himbaechel/uarch/gowin && /gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/bin/c++ -DARCHNAME=himbaechel -DARCH_HIMBAECHEL -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DNEXTPNR_NAMESPACE=nextpnr_himbaechel -DNO_RUST -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -Dnextpnr_himbaechel_gowin_EXPORTS -I/gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/include/python3.11 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/himbaechel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/common -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/frontend/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/json/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/rust/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/json11/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/place/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/route/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/oourafft/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/himbaechel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui -isystem /gnu/store/r94kayv6y93mj1hgc13k11klha366dsw-eigen-3.4.0/include/eigen3 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtWidgets -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtGui -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtCore -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/lib/qt5/mkspecs/linux-g++ -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -fdiagnostics-color=always -fPIC -MD -MT himbaechel/uarch/gowin/CMakeFiles/nextpnr-himbaechel-gowin.dir/__/__/__/common/kernel/report.cc.o -MF CMakeFiles/nextpnr-himbaechel-gowin.dir/__/__/__/common/kernel/report.cc.o.d -o CMakeFiles/nextpnr-himbaechel-gowin.dir/__/__/__/common/kernel/report.cc.o -c /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/report.cc cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/himbaechel/uarch/gowin && /gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/bin/c++ -DARCHNAME=himbaechel -DARCH_HIMBAECHEL -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DNEXTPNR_NAMESPACE=nextpnr_himbaechel -DNO_RUST -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -Dnextpnr_himbaechel_gowin_EXPORTS -I/gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/include/python3.11 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/himbaechel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/common -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/frontend/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/json/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/rust/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/json11/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/place/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/route/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/oourafft/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/himbaechel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui -isystem /gnu/store/r94kayv6y93mj1hgc13k11klha366dsw-eigen-3.4.0/include/eigen3 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtWidgets -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtGui -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtCore -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/lib/qt5/mkspecs/linux-g++ -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -fdiagnostics-color=always -fPIC -MD -MT himbaechel/uarch/gowin/CMakeFiles/nextpnr-himbaechel-gowin.dir/__/__/__/common/kernel/pybindings.cc.o -MF CMakeFiles/nextpnr-himbaechel-gowin.dir/__/__/__/common/kernel/pybindings.cc.o.d -o CMakeFiles/nextpnr-himbaechel-gowin.dir/__/__/__/common/kernel/pybindings.cc.o -c /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/pybindings.cc [ 41%] Building CXX object himbaechel/uarch/gowin/CMakeFiles/nextpnr-himbaechel-gowin-test.dir/__/__/__/common/kernel/svg.cc.o cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/himbaechel/uarch/gowin && /gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/bin/c++ -DARCHNAME=himbaechel -DARCH_HIMBAECHEL -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DGTEST_LINKED_AS_SHARED_LIBRARY=1 -DNEXTPNR_NAMESPACE=nextpnr_himbaechel -DNO_RUST -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -Dnextpnr_himbaechel_gowin_test_EXPORTS -I/gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/include/python3.11 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/himbaechel/uarch/gowin/gtest_include_dir -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/himbaechel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/common -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/frontend/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/json/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/rust/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/json11/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/place/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/route/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/oourafft/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/himbaechel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui -isystem /gnu/store/r94kayv6y93mj1hgc13k11klha366dsw-eigen-3.4.0/include/eigen3 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtWidgets -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtGui -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtCore -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/lib/qt5/mkspecs/linux-g++ -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -fdiagnostics-color=always -fPIC -MD -MT himbaechel/uarch/gowin/CMakeFiles/nextpnr-himbaechel-gowin-test.dir/__/__/__/common/kernel/svg.cc.o -MF CMakeFiles/nextpnr-himbaechel-gowin-test.dir/__/__/__/common/kernel/svg.cc.o.d -o CMakeFiles/nextpnr-himbaechel-gowin-test.dir/__/__/__/common/kernel/svg.cc.o -c /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/svg.cc [ 41%] Building CXX object himbaechel/uarch/gowin/CMakeFiles/nextpnr-himbaechel-gowin-test.dir/__/__/__/frontend/json_frontend.cc.o cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/himbaechel/uarch/gowin && /gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/bin/c++ -DARCHNAME=himbaechel -DARCH_HIMBAECHEL -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DGTEST_LINKED_AS_SHARED_LIBRARY=1 -DNEXTPNR_NAMESPACE=nextpnr_himbaechel -DNO_RUST -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -Dnextpnr_himbaechel_gowin_test_EXPORTS -I/gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/include/python3.11 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/himbaechel/uarch/gowin/gtest_include_dir -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/himbaechel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/common -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/frontend/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/json/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/rust/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/json11/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/place/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/route/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/oourafft/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/himbaechel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui -isystem /gnu/store/r94kayv6y93mj1hgc13k11klha366dsw-eigen-3.4.0/include/eigen3 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtWidgets -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtGui -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtCore -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/lib/qt5/mkspecs/linux-g++ -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -fdiagnostics-color=always -fPIC -MD -MT himbaechel/uarch/gowin/CMakeFiles/nextpnr-himbaechel-gowin-test.dir/__/__/__/frontend/json_frontend.cc.o -MF CMakeFiles/nextpnr-himbaechel-gowin-test.dir/__/__/__/frontend/json_frontend.cc.o.d -o CMakeFiles/nextpnr-himbaechel-gowin-test.dir/__/__/__/frontend/json_frontend.cc.o -c /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/frontend/json_frontend.cc [ 42%] Building CXX object himbaechel/uarch/gowin/CMakeFiles/nextpnr-himbaechel-gowin.dir/__/__/__/common/kernel/sdf.cc.o cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/himbaechel/uarch/gowin && /gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/bin/c++ -DARCHNAME=himbaechel -DARCH_HIMBAECHEL -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DNEXTPNR_NAMESPACE=nextpnr_himbaechel -DNO_RUST -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -Dnextpnr_himbaechel_gowin_EXPORTS -I/gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/include/python3.11 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/himbaechel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/common -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/frontend/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/json/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/rust/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/json11/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/place/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/route/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/oourafft/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/himbaechel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui -isystem /gnu/store/r94kayv6y93mj1hgc13k11klha366dsw-eigen-3.4.0/include/eigen3 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtWidgets -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtGui -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtCore -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/lib/qt5/mkspecs/linux-g++ -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -fdiagnostics-color=always -fPIC -MD -MT himbaechel/uarch/gowin/CMakeFiles/nextpnr-himbaechel-gowin.dir/__/__/__/common/kernel/sdf.cc.o -MF CMakeFiles/nextpnr-himbaechel-gowin.dir/__/__/__/common/kernel/sdf.cc.o.d -o CMakeFiles/nextpnr-himbaechel-gowin.dir/__/__/__/common/kernel/sdf.cc.o -c /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/sdf.cc [ 43%] Building CXX object himbaechel/uarch/gowin/CMakeFiles/nextpnr-himbaechel-gowin-test.dir/__/__/__/json/jsonwrite.cc.o [ 43%] Building CXX object himbaechel/uarch/gowin/CMakeFiles/nextpnr-himbaechel-gowin-test.dir/__/__/__/common/place/detail_place_core.cc.o cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/himbaechel/uarch/gowin && /gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/bin/c++ -DARCHNAME=himbaechel -DARCH_HIMBAECHEL -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DGTEST_LINKED_AS_SHARED_LIBRARY=1 -DNEXTPNR_NAMESPACE=nextpnr_himbaechel -DNO_RUST -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -Dnextpnr_himbaechel_gowin_test_EXPORTS -I/gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/include/python3.11 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/himbaechel/uarch/gowin/gtest_include_dir -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/himbaechel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/common -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/frontend/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/json/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/rust/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/json11/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/place/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/route/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/oourafft/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/himbaechel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui -isystem /gnu/store/r94kayv6y93mj1hgc13k11klha366dsw-eigen-3.4.0/include/eigen3 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtWidgets -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtGui -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtCore -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/lib/qt5/mkspecs/linux-g++ -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -fdiagnostics-color=always -fPIC -MD -MT himbaechel/uarch/gowin/CMakeFiles/nextpnr-himbaechel-gowin-test.dir/__/__/__/common/place/detail_place_core.cc.o -MF CMakeFiles/nextpnr-himbaechel-gowin-test.dir/__/__/__/common/place/detail_place_core.cc.o.d -o CMakeFiles/nextpnr-himbaechel-gowin-test.dir/__/__/__/common/place/detail_place_core.cc.o -c /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/place/detail_place_core.cc cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/himbaechel/uarch/gowin && /gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/bin/c++ -DARCHNAME=himbaechel -DARCH_HIMBAECHEL -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DGTEST_LINKED_AS_SHARED_LIBRARY=1 -DNEXTPNR_NAMESPACE=nextpnr_himbaechel -DNO_RUST -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -Dnextpnr_himbaechel_gowin_test_EXPORTS -I/gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/include/python3.11 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/himbaechel/uarch/gowin/gtest_include_dir -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/himbaechel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/common -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/frontend/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/json/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/rust/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/json11/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/place/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/route/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/oourafft/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/himbaechel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui -isystem /gnu/store/r94kayv6y93mj1hgc13k11klha366dsw-eigen-3.4.0/include/eigen3 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtWidgets -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtGui -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtCore -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/lib/qt5/mkspecs/linux-g++ -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -fdiagnostics-color=always -fPIC -MD -MT himbaechel/uarch/gowin/CMakeFiles/nextpnr-himbaechel-gowin-test.dir/__/__/__/json/jsonwrite.cc.o -MF CMakeFiles/nextpnr-himbaechel-gowin-test.dir/__/__/__/json/jsonwrite.cc.o.d -o CMakeFiles/nextpnr-himbaechel-gowin-test.dir/__/__/__/json/jsonwrite.cc.o -c /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/json/jsonwrite.cc [ 43%] Building CXX object himbaechel/uarch/gowin/CMakeFiles/nextpnr-himbaechel-gowin-test.dir/__/__/__/common/place/place_common.cc.o cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/himbaechel/uarch/gowin && /gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/bin/c++ -DARCHNAME=himbaechel -DARCH_HIMBAECHEL -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DGTEST_LINKED_AS_SHARED_LIBRARY=1 -DNEXTPNR_NAMESPACE=nextpnr_himbaechel -DNO_RUST -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -Dnextpnr_himbaechel_gowin_test_EXPORTS -I/gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/include/python3.11 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/himbaechel/uarch/gowin/gtest_include_dir -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/himbaechel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/common -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/frontend/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/json/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/rust/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/json11/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/place/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/route/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/oourafft/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/himbaechel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui -isystem /gnu/store/r94kayv6y93mj1hgc13k11klha366dsw-eigen-3.4.0/include/eigen3 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtWidgets -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtGui -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtCore -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/lib/qt5/mkspecs/linux-g++ -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -fdiagnostics-color=always -fPIC -MD -MT himbaechel/uarch/gowin/CMakeFiles/nextpnr-himbaechel-gowin-test.dir/__/__/__/common/place/place_common.cc.o -MF CMakeFiles/nextpnr-himbaechel-gowin-test.dir/__/__/__/common/place/place_common.cc.o.d -o CMakeFiles/nextpnr-himbaechel-gowin-test.dir/__/__/__/common/place/place_common.cc.o -c /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/place/place_common.cc [ 43%] Building CXX object himbaechel/uarch/gowin/CMakeFiles/nextpnr-himbaechel-gowin.dir/__/__/__/common/kernel/str_ring_buffer.cc.o [ 43%] Building CXX object himbaechel/uarch/gowin/CMakeFiles/nextpnr-himbaechel-gowin-test.dir/__/__/__/common/place/parallel_refine.cc.o cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/himbaechel/uarch/gowin && /gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/bin/c++ -DARCHNAME=himbaechel -DARCH_HIMBAECHEL -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DNEXTPNR_NAMESPACE=nextpnr_himbaechel -DNO_RUST -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -Dnextpnr_himbaechel_gowin_EXPORTS -I/gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/include/python3.11 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/himbaechel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/common -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/frontend/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/json/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/rust/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/json11/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/place/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/route/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/oourafft/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/himbaechel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui -isystem /gnu/store/r94kayv6y93mj1hgc13k11klha366dsw-eigen-3.4.0/include/eigen3 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtWidgets -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtGui -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtCore -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/lib/qt5/mkspecs/linux-g++ -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -fdiagnostics-color=always -fPIC -MD -MT himbaechel/uarch/gowin/CMakeFiles/nextpnr-himbaechel-gowin.dir/__/__/__/common/kernel/str_ring_buffer.cc.o -MF CMakeFiles/nextpnr-himbaechel-gowin.dir/__/__/__/common/kernel/str_ring_buffer.cc.o.d -o CMakeFiles/nextpnr-himbaechel-gowin.dir/__/__/__/common/kernel/str_ring_buffer.cc.o -c /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/str_ring_buffer.cc cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/himbaechel/uarch/gowin && /gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/bin/c++ -DARCHNAME=himbaechel -DARCH_HIMBAECHEL -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DGTEST_LINKED_AS_SHARED_LIBRARY=1 -DNEXTPNR_NAMESPACE=nextpnr_himbaechel -DNO_RUST -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -Dnextpnr_himbaechel_gowin_test_EXPORTS -I/gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/include/python3.11 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/himbaechel/uarch/gowin/gtest_include_dir -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/himbaechel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/common -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/frontend/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/json/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/rust/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/json11/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/place/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/route/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/oourafft/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/himbaechel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui -isystem /gnu/store/r94kayv6y93mj1hgc13k11klha366dsw-eigen-3.4.0/include/eigen3 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtWidgets -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtGui -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtCore -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/lib/qt5/mkspecs/linux-g++ -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -fdiagnostics-color=always -fPIC -MD -MT himbaechel/uarch/gowin/CMakeFiles/nextpnr-himbaechel-gowin-test.dir/__/__/__/common/place/parallel_refine.cc.o -MF CMakeFiles/nextpnr-himbaechel-gowin-test.dir/__/__/__/common/place/parallel_refine.cc.o.d -o CMakeFiles/nextpnr-himbaechel-gowin-test.dir/__/__/__/common/place/parallel_refine.cc.o -c /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/place/parallel_refine.cc [ 43%] Building CXX object himbaechel/uarch/gowin/CMakeFiles/nextpnr-himbaechel-gowin.dir/__/__/__/common/kernel/svg.cc.o cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/himbaechel/uarch/gowin && /gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/bin/c++ -DARCHNAME=himbaechel -DARCH_HIMBAECHEL -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DNEXTPNR_NAMESPACE=nextpnr_himbaechel -DNO_RUST -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -Dnextpnr_himbaechel_gowin_EXPORTS -I/gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/include/python3.11 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/himbaechel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/common -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/frontend/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/json/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/rust/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/json11/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/place/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/route/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/oourafft/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/himbaechel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui -isystem /gnu/store/r94kayv6y93mj1hgc13k11klha366dsw-eigen-3.4.0/include/eigen3 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtWidgets -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtGui -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtCore -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/lib/qt5/mkspecs/linux-g++ -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -fdiagnostics-color=always -fPIC -MD -MT himbaechel/uarch/gowin/CMakeFiles/nextpnr-himbaechel-gowin.dir/__/__/__/common/kernel/svg.cc.o -MF CMakeFiles/nextpnr-himbaechel-gowin.dir/__/__/__/common/kernel/svg.cc.o.d -o CMakeFiles/nextpnr-himbaechel-gowin.dir/__/__/__/common/kernel/svg.cc.o -c /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/svg.cc [ 43%] Building CXX object himbaechel/uarch/gowin/CMakeFiles/nextpnr-himbaechel-gowin-test.dir/__/__/__/common/place/placer1.cc.o cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/himbaechel/uarch/gowin && /gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/bin/c++ -DARCHNAME=himbaechel -DARCH_HIMBAECHEL -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DGTEST_LINKED_AS_SHARED_LIBRARY=1 -DNEXTPNR_NAMESPACE=nextpnr_himbaechel -DNO_RUST -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -Dnextpnr_himbaechel_gowin_test_EXPORTS -I/gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/include/python3.11 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/himbaechel/uarch/gowin/gtest_include_dir -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/himbaechel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/common -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/frontend/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/json/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/rust/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/json11/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/place/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/route/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/oourafft/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/himbaechel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui -isystem /gnu/store/r94kayv6y93mj1hgc13k11klha366dsw-eigen-3.4.0/include/eigen3 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtWidgets -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtGui -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtCore -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/lib/qt5/mkspecs/linux-g++ -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -fdiagnostics-color=always -fPIC -MD -MT himbaechel/uarch/gowin/CMakeFiles/nextpnr-himbaechel-gowin-test.dir/__/__/__/common/place/placer1.cc.o -MF CMakeFiles/nextpnr-himbaechel-gowin-test.dir/__/__/__/common/place/placer1.cc.o.d -o CMakeFiles/nextpnr-himbaechel-gowin-test.dir/__/__/__/common/place/placer1.cc.o -c /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/place/placer1.cc [ 43%] Building CXX object himbaechel/uarch/gowin/CMakeFiles/nextpnr-himbaechel-gowin.dir/__/__/__/common/kernel/timing.cc.o [ 43%] Building CXX object himbaechel/uarch/gowin/CMakeFiles/nextpnr-himbaechel-gowin.dir/__/__/__/common/kernel/property.cc.o cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/himbaechel/uarch/gowin && /gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/bin/c++ -DARCHNAME=himbaechel -DARCH_HIMBAECHEL -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DNEXTPNR_NAMESPACE=nextpnr_himbaechel -DNO_RUST -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -Dnextpnr_himbaechel_gowin_EXPORTS -I/gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/include/python3.11 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/himbaechel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/common -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/frontend/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/json/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/rust/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/json11/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/place/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/route/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/oourafft/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/himbaechel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui -isystem /gnu/store/r94kayv6y93mj1hgc13k11klha366dsw-eigen-3.4.0/include/eigen3 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtWidgets -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtGui -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtCore -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/lib/qt5/mkspecs/linux-g++ -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -fdiagnostics-color=always -fPIC -MD -MT himbaechel/uarch/gowin/CMakeFiles/nextpnr-himbaechel-gowin.dir/__/__/__/common/kernel/timing.cc.o -MF CMakeFiles/nextpnr-himbaechel-gowin.dir/__/__/__/common/kernel/timing.cc.o.d -o CMakeFiles/nextpnr-himbaechel-gowin.dir/__/__/__/common/kernel/timing.cc.o -c /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/timing.cc cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/himbaechel/uarch/gowin && /gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/bin/c++ -DARCHNAME=himbaechel -DARCH_HIMBAECHEL -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DNEXTPNR_NAMESPACE=nextpnr_himbaechel -DNO_RUST -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -Dnextpnr_himbaechel_gowin_EXPORTS -I/gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/include/python3.11 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/himbaechel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/common -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/frontend/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/json/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/rust/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/json11/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/place/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/route/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/oourafft/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/himbaechel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui -isystem /gnu/store/r94kayv6y93mj1hgc13k11klha366dsw-eigen-3.4.0/include/eigen3 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtWidgets -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtGui -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtCore -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/lib/qt5/mkspecs/linux-g++ -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -fdiagnostics-color=always -fPIC -MD -MT himbaechel/uarch/gowin/CMakeFiles/nextpnr-himbaechel-gowin.dir/__/__/__/common/kernel/property.cc.o -MF CMakeFiles/nextpnr-himbaechel-gowin.dir/__/__/__/common/kernel/property.cc.o.d -o CMakeFiles/nextpnr-himbaechel-gowin.dir/__/__/__/common/kernel/property.cc.o -c /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/property.cc [ 43%] Building CXX object himbaechel/uarch/gowin/CMakeFiles/nextpnr-himbaechel-gowin-test.dir/__/__/__/common/kernel/timing_log.cc.o cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/himbaechel/uarch/gowin && /gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/bin/c++ -DARCHNAME=himbaechel -DARCH_HIMBAECHEL -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DGTEST_LINKED_AS_SHARED_LIBRARY=1 -DNEXTPNR_NAMESPACE=nextpnr_himbaechel -DNO_RUST -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -Dnextpnr_himbaechel_gowin_test_EXPORTS -I/gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/include/python3.11 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/himbaechel/uarch/gowin/gtest_include_dir -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/himbaechel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/common -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/frontend/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/json/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/rust/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/json11/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/place/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/route/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/oourafft/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/himbaechel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui -isystem /gnu/store/r94kayv6y93mj1hgc13k11klha366dsw-eigen-3.4.0/include/eigen3 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtWidgets -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtGui -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtCore -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/lib/qt5/mkspecs/linux-g++ -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -fdiagnostics-color=always -fPIC -MD -MT himbaechel/uarch/gowin/CMakeFiles/nextpnr-himbaechel-gowin-test.dir/__/__/__/common/kernel/timing_log.cc.o -MF CMakeFiles/nextpnr-himbaechel-gowin-test.dir/__/__/__/common/kernel/timing_log.cc.o.d -o CMakeFiles/nextpnr-himbaechel-gowin-test.dir/__/__/__/common/kernel/timing_log.cc.o -c /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/timing_log.cc [ 43%] Building CXX object himbaechel/uarch/gowin/CMakeFiles/nextpnr-himbaechel-gowin-test.dir/__/__/__/common/kernel/timing.cc.o cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/himbaechel/uarch/gowin && /gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/bin/c++ -DARCHNAME=himbaechel -DARCH_HIMBAECHEL -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DGTEST_LINKED_AS_SHARED_LIBRARY=1 -DNEXTPNR_NAMESPACE=nextpnr_himbaechel -DNO_RUST -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -Dnextpnr_himbaechel_gowin_test_EXPORTS -I/gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/include/python3.11 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/himbaechel/uarch/gowin/gtest_include_dir -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/himbaechel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/common -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/frontend/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/json/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/rust/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/json11/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/place/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/route/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/oourafft/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/himbaechel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui -isystem /gnu/store/r94kayv6y93mj1hgc13k11klha366dsw-eigen-3.4.0/include/eigen3 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtWidgets -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtGui -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtCore -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/lib/qt5/mkspecs/linux-g++ -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -fdiagnostics-color=always -fPIC -MD -MT himbaechel/uarch/gowin/CMakeFiles/nextpnr-himbaechel-gowin-test.dir/__/__/__/common/kernel/timing.cc.o -MF CMakeFiles/nextpnr-himbaechel-gowin-test.dir/__/__/__/common/kernel/timing.cc.o.d -o CMakeFiles/nextpnr-himbaechel-gowin-test.dir/__/__/__/common/kernel/timing.cc.o -c /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/timing.cc [ 43%] Building CXX object himbaechel/uarch/gowin/CMakeFiles/nextpnr-himbaechel-gowin-test.dir/__/__/__/common/kernel/basectx.cc.o cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/himbaechel/uarch/gowin && /gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/bin/c++ -DARCHNAME=himbaechel -DARCH_HIMBAECHEL -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DGTEST_LINKED_AS_SHARED_LIBRARY=1 -DNEXTPNR_NAMESPACE=nextpnr_himbaechel -DNO_RUST -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -Dnextpnr_himbaechel_gowin_test_EXPORTS -I/gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/include/python3.11 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/himbaechel/uarch/gowin/gtest_include_dir -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/himbaechel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/common -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/frontend/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/json/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/rust/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/json11/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/place/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/route/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/oourafft/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/himbaechel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui -isystem /gnu/store/r94kayv6y93mj1hgc13k11klha366dsw-eigen-3.4.0/include/eigen3 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtWidgets -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtGui -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtCore -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/lib/qt5/mkspecs/linux-g++ -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -fdiagnostics-color=always -fPIC -MD -MT himbaechel/uarch/gowin/CMakeFiles/nextpnr-himbaechel-gowin-test.dir/__/__/__/common/kernel/basectx.cc.o -MF CMakeFiles/nextpnr-himbaechel-gowin-test.dir/__/__/__/common/kernel/basectx.cc.o.d -o CMakeFiles/nextpnr-himbaechel-gowin-test.dir/__/__/__/common/kernel/basectx.cc.o -c /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/basectx.cc [ 44%] Building CXX object himbaechel/uarch/gowin/CMakeFiles/nextpnr-himbaechel-gowin-test.dir/pack.cc.o [ 44%] Building CXX object himbaechel/uarch/gowin/CMakeFiles/nextpnr-himbaechel-gowin-test.dir/__/__/__/common/kernel/archcheck.cc.o cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/himbaechel/uarch/gowin && /gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/bin/c++ -DARCHNAME=himbaechel -DARCH_HIMBAECHEL -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DGTEST_LINKED_AS_SHARED_LIBRARY=1 -DNEXTPNR_NAMESPACE=nextpnr_himbaechel -DNO_RUST -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -Dnextpnr_himbaechel_gowin_test_EXPORTS -I/gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/include/python3.11 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/himbaechel/uarch/gowin/gtest_include_dir -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/himbaechel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/common -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/frontend/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/json/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/rust/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/json11/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/place/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/route/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/oourafft/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/himbaechel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui -isystem /gnu/store/r94kayv6y93mj1hgc13k11klha366dsw-eigen-3.4.0/include/eigen3 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtWidgets -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtGui -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtCore -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/lib/qt5/mkspecs/linux-g++ -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -fdiagnostics-color=always -fPIC -MD -MT himbaechel/uarch/gowin/CMakeFiles/nextpnr-himbaechel-gowin-test.dir/__/__/__/common/kernel/archcheck.cc.o -MF CMakeFiles/nextpnr-himbaechel-gowin-test.dir/__/__/__/common/kernel/archcheck.cc.o.d -o CMakeFiles/nextpnr-himbaechel-gowin-test.dir/__/__/__/common/kernel/archcheck.cc.o -c /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/archcheck.cc cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/himbaechel/uarch/gowin && /gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/bin/c++ -DARCHNAME=himbaechel -DARCH_HIMBAECHEL -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DGTEST_LINKED_AS_SHARED_LIBRARY=1 -DNEXTPNR_NAMESPACE=nextpnr_himbaechel -DNO_RUST -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -Dnextpnr_himbaechel_gowin_test_EXPORTS -I/gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/include/python3.11 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/himbaechel/uarch/gowin/gtest_include_dir -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/himbaechel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/common -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/frontend/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/json/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/rust/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/json11/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/place/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/route/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/oourafft/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/himbaechel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui -isystem /gnu/store/r94kayv6y93mj1hgc13k11klha366dsw-eigen-3.4.0/include/eigen3 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtWidgets -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtGui -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtCore -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/lib/qt5/mkspecs/linux-g++ -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -fdiagnostics-color=always -fPIC -MD -MT himbaechel/uarch/gowin/CMakeFiles/nextpnr-himbaechel-gowin-test.dir/pack.cc.o -MF CMakeFiles/nextpnr-himbaechel-gowin-test.dir/pack.cc.o.d -o CMakeFiles/nextpnr-himbaechel-gowin-test.dir/pack.cc.o -c /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/himbaechel/uarch/gowin/pack.cc [ 44%] Building CXX object himbaechel/uarch/gowin/CMakeFiles/nextpnr-himbaechel-gowin-test.dir/__/__/__/common/place/placer_static.cc.o [ 44%] Building CXX object himbaechel/uarch/gowin/CMakeFiles/nextpnr-himbaechel-gowin-test.dir/__/__/__/common/place/placer_heap.cc.o [ 44%] Building CXX object himbaechel/uarch/gowin/CMakeFiles/nextpnr-himbaechel-gowin.dir/__/__/__/common/place/parallel_refine.cc.o cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/himbaechel/uarch/gowin && /gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/bin/c++ -DARCHNAME=himbaechel -DARCH_HIMBAECHEL -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DNEXTPNR_NAMESPACE=nextpnr_himbaechel -DNO_RUST -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -Dnextpnr_himbaechel_gowin_EXPORTS -I/gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/include/python3.11 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/himbaechel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/common -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/frontend/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/json/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/rust/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/json11/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/place/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/route/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/oourafft/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/himbaechel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui -isystem /gnu/store/r94kayv6y93mj1hgc13k11klha366dsw-eigen-3.4.0/include/eigen3 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtWidgets -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtGui -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtCore -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/lib/qt5/mkspecs/linux-g++ -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -fdiagnostics-color=always -fPIC -MD -MT himbaechel/uarch/gowin/CMakeFiles/nextpnr-himbaechel-gowin.dir/__/__/__/common/place/parallel_refine.cc.o -MF CMakeFiles/nextpnr-himbaechel-gowin.dir/__/__/__/common/place/parallel_refine.cc.o.d -o CMakeFiles/nextpnr-himbaechel-gowin.dir/__/__/__/common/place/parallel_refine.cc.o -c /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/place/parallel_refine.cc cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/himbaechel/uarch/gowin && /gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/bin/c++ -DARCHNAME=himbaechel -DARCH_HIMBAECHEL -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DGTEST_LINKED_AS_SHARED_LIBRARY=1 -DNEXTPNR_NAMESPACE=nextpnr_himbaechel -DNO_RUST -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -Dnextpnr_himbaechel_gowin_test_EXPORTS -I/gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/include/python3.11 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/himbaechel/uarch/gowin/gtest_include_dir -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/himbaechel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/common -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/frontend/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/json/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/rust/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/json11/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/place/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/route/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/oourafft/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/himbaechel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui -isystem /gnu/store/r94kayv6y93mj1hgc13k11klha366dsw-eigen-3.4.0/include/eigen3 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtWidgets -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtGui -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtCore -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/lib/qt5/mkspecs/linux-g++ -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -fdiagnostics-color=always -fPIC -MD -MT himbaechel/uarch/gowin/CMakeFiles/nextpnr-himbaechel-gowin-test.dir/__/__/__/common/place/placer_static.cc.o -MF CMakeFiles/nextpnr-himbaechel-gowin-test.dir/__/__/__/common/place/placer_static.cc.o.d -o CMakeFiles/nextpnr-himbaechel-gowin-test.dir/__/__/__/common/place/placer_static.cc.o -c /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/place/placer_static.cc cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/himbaechel/uarch/gowin && /gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/bin/c++ -DARCHNAME=himbaechel -DARCH_HIMBAECHEL -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DGTEST_LINKED_AS_SHARED_LIBRARY=1 -DNEXTPNR_NAMESPACE=nextpnr_himbaechel -DNO_RUST -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -Dnextpnr_himbaechel_gowin_test_EXPORTS -I/gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/include/python3.11 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/himbaechel/uarch/gowin/gtest_include_dir -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/himbaechel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/common -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/frontend/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/json/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/rust/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/json11/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/place/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/route/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/oourafft/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/himbaechel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui -isystem /gnu/store/r94kayv6y93mj1hgc13k11klha366dsw-eigen-3.4.0/include/eigen3 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtWidgets -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtGui -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtCore -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/lib/qt5/mkspecs/linux-g++ -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -fdiagnostics-color=always -fPIC -MD -MT himbaechel/uarch/gowin/CMakeFiles/nextpnr-himbaechel-gowin-test.dir/__/__/__/common/place/placer_heap.cc.o -MF CMakeFiles/nextpnr-himbaechel-gowin-test.dir/__/__/__/common/place/placer_heap.cc.o.d -o CMakeFiles/nextpnr-himbaechel-gowin-test.dir/__/__/__/common/place/placer_heap.cc.o -c /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/place/placer_heap.cc [ 44%] Building CXX object himbaechel/uarch/gowin/CMakeFiles/nextpnr-himbaechel-gowin-test.dir/gowin_utils.cc.o [ 44%] Building CXX object himbaechel/uarch/gowin/CMakeFiles/nextpnr-himbaechel-gowin-test.dir/__/__/__/common/place/timing_opt.cc.o cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/himbaechel/uarch/gowin && /gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/bin/c++ -DARCHNAME=himbaechel -DARCH_HIMBAECHEL -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DGTEST_LINKED_AS_SHARED_LIBRARY=1 -DNEXTPNR_NAMESPACE=nextpnr_himbaechel -DNO_RUST -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -Dnextpnr_himbaechel_gowin_test_EXPORTS -I/gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/include/python3.11 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/himbaechel/uarch/gowin/gtest_include_dir -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/himbaechel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/common -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/frontend/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/json/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/rust/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/json11/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/place/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/route/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/oourafft/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/himbaechel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui -isystem /gnu/store/r94kayv6y93mj1hgc13k11klha366dsw-eigen-3.4.0/include/eigen3 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtWidgets -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtGui -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtCore -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/lib/qt5/mkspecs/linux-g++ -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -fdiagnostics-color=always -fPIC -MD -MT himbaechel/uarch/gowin/CMakeFiles/nextpnr-himbaechel-gowin-test.dir/gowin_utils.cc.o -MF CMakeFiles/nextpnr-himbaechel-gowin-test.dir/gowin_utils.cc.o.d -o CMakeFiles/nextpnr-himbaechel-gowin-test.dir/gowin_utils.cc.o -c /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/himbaechel/uarch/gowin/gowin_utils.cc cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/himbaechel/uarch/gowin && /gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/bin/c++ -DARCHNAME=himbaechel -DARCH_HIMBAECHEL -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DGTEST_LINKED_AS_SHARED_LIBRARY=1 -DNEXTPNR_NAMESPACE=nextpnr_himbaechel -DNO_RUST -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -Dnextpnr_himbaechel_gowin_test_EXPORTS -I/gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/include/python3.11 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/himbaechel/uarch/gowin/gtest_include_dir -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/himbaechel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/common -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/frontend/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/json/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/rust/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/json11/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/place/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/route/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/oourafft/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/himbaechel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui -isystem /gnu/store/r94kayv6y93mj1hgc13k11klha366dsw-eigen-3.4.0/include/eigen3 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtWidgets -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtGui -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtCore -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/lib/qt5/mkspecs/linux-g++ -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -fdiagnostics-color=always -fPIC -MD -MT himbaechel/uarch/gowin/CMakeFiles/nextpnr-himbaechel-gowin-test.dir/__/__/__/common/place/timing_opt.cc.o -MF CMakeFiles/nextpnr-himbaechel-gowin-test.dir/__/__/__/common/place/timing_opt.cc.o.d -o CMakeFiles/nextpnr-himbaechel-gowin-test.dir/__/__/__/common/place/timing_opt.cc.o -c /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/place/timing_opt.cc [ 45%] Building CXX object himbaechel/uarch/gowin/CMakeFiles/nextpnr-himbaechel-gowin-test.dir/__/__/__/common/route/router2.cc.o cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/himbaechel/uarch/gowin && /gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/bin/c++ -DARCHNAME=himbaechel -DARCH_HIMBAECHEL -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DGTEST_LINKED_AS_SHARED_LIBRARY=1 -DNEXTPNR_NAMESPACE=nextpnr_himbaechel -DNO_RUST -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -Dnextpnr_himbaechel_gowin_test_EXPORTS -I/gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/include/python3.11 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/himbaechel/uarch/gowin/gtest_include_dir -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/himbaechel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/common -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/frontend/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/json/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/rust/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/json11/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/place/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/route/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/oourafft/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/himbaechel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui -isystem /gnu/store/r94kayv6y93mj1hgc13k11klha366dsw-eigen-3.4.0/include/eigen3 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtWidgets -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtGui -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtCore -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/lib/qt5/mkspecs/linux-g++ -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -fdiagnostics-color=always -fPIC -MD -MT himbaechel/uarch/gowin/CMakeFiles/nextpnr-himbaechel-gowin-test.dir/__/__/__/common/route/router2.cc.o -MF CMakeFiles/nextpnr-himbaechel-gowin-test.dir/__/__/__/common/route/router2.cc.o.d -o CMakeFiles/nextpnr-himbaechel-gowin-test.dir/__/__/__/common/route/router2.cc.o -c /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/route/router2.cc [ 45%] Building CXX object himbaechel/uarch/gowin/CMakeFiles/nextpnr-himbaechel-gowin-test.dir/__/__/__/3rdparty/oourafft/fftsg2d.cc.o cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/himbaechel/uarch/gowin && /gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/bin/c++ -DARCHNAME=himbaechel -DARCH_HIMBAECHEL -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DGTEST_LINKED_AS_SHARED_LIBRARY=1 -DNEXTPNR_NAMESPACE=nextpnr_himbaechel -DNO_RUST -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -Dnextpnr_himbaechel_gowin_test_EXPORTS -I/gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/include/python3.11 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/himbaechel/uarch/gowin/gtest_include_dir -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/himbaechel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/common -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/frontend/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/json/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/rust/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/json11/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/place/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/route/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/oourafft/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/himbaechel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui -isystem /gnu/store/r94kayv6y93mj1hgc13k11klha366dsw-eigen-3.4.0/include/eigen3 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtWidgets -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtGui -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtCore -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/lib/qt5/mkspecs/linux-g++ -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -fdiagnostics-color=always -fPIC -MD -MT himbaechel/uarch/gowin/CMakeFiles/nextpnr-himbaechel-gowin-test.dir/__/__/__/3rdparty/oourafft/fftsg2d.cc.o -MF CMakeFiles/nextpnr-himbaechel-gowin-test.dir/__/__/__/3rdparty/oourafft/fftsg2d.cc.o.d -o CMakeFiles/nextpnr-himbaechel-gowin-test.dir/__/__/__/3rdparty/oourafft/fftsg2d.cc.o -c /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/oourafft/fftsg2d.cc [ 45%] Building CXX object himbaechel/uarch/gowin/CMakeFiles/nextpnr-himbaechel-gowin.dir/__/__/__/common/place/placer1.cc.o [ 45%] Building CXX object himbaechel/uarch/gowin/CMakeFiles/nextpnr-himbaechel-gowin-test.dir/__/__/__/3rdparty/oourafft/fftsg.cc.o [ 45%] Building CXX object himbaechel/uarch/gowin/CMakeFiles/nextpnr-himbaechel-gowin-test.dir/__/__/__/tests/gui/quadtree.cc.o cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/himbaechel/uarch/gowin && /gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/bin/c++ -DARCHNAME=himbaechel -DARCH_HIMBAECHEL -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DNEXTPNR_NAMESPACE=nextpnr_himbaechel -DNO_RUST -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -Dnextpnr_himbaechel_gowin_EXPORTS -I/gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/include/python3.11 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/himbaechel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/common -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/frontend/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/json/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/rust/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/json11/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/place/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/route/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/oourafft/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/himbaechel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui -isystem /gnu/store/r94kayv6y93mj1hgc13k11klha366dsw-eigen-3.4.0/include/eigen3 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtWidgets -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtGui -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtCore -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/lib/qt5/mkspecs/linux-g++ -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -fdiagnostics-color=always -fPIC -MD -MT himbaechel/uarch/gowin/CMakeFiles/nextpnr-himbaechel-gowin.dir/__/__/__/common/place/placer1.cc.o -MF CMakeFiles/nextpnr-himbaechel-gowin.dir/__/__/__/common/place/placer1.cc.o.d -o CMakeFiles/nextpnr-himbaechel-gowin.dir/__/__/__/common/place/placer1.cc.o -c /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/place/placer1.cc cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/himbaechel/uarch/gowin && /gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/bin/c++ -DARCHNAME=himbaechel -DARCH_HIMBAECHEL -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DGTEST_LINKED_AS_SHARED_LIBRARY=1 -DNEXTPNR_NAMESPACE=nextpnr_himbaechel -DNO_RUST -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -Dnextpnr_himbaechel_gowin_test_EXPORTS -I/gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/include/python3.11 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/himbaechel/uarch/gowin/gtest_include_dir -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/himbaechel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/common -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/frontend/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/json/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/rust/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/json11/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/place/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/route/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/oourafft/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/himbaechel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui -isystem /gnu/store/r94kayv6y93mj1hgc13k11klha366dsw-eigen-3.4.0/include/eigen3 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtWidgets -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtGui -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtCore -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/lib/qt5/mkspecs/linux-g++ -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -fdiagnostics-color=always -fPIC -MD -MT himbaechel/uarch/gowin/CMakeFiles/nextpnr-himbaechel-gowin-test.dir/__/__/__/3rdparty/oourafft/fftsg.cc.o -MF CMakeFiles/nextpnr-himbaechel-gowin-test.dir/__/__/__/3rdparty/oourafft/fftsg.cc.o.d -o CMakeFiles/nextpnr-himbaechel-gowin-test.dir/__/__/__/3rdparty/oourafft/fftsg.cc.o -c /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/oourafft/fftsg.cc cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/himbaechel/uarch/gowin && /gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/bin/c++ -DARCHNAME=himbaechel -DARCH_HIMBAECHEL -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DGTEST_LINKED_AS_SHARED_LIBRARY=1 -DNEXTPNR_NAMESPACE=nextpnr_himbaechel -DNO_RUST -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -Dnextpnr_himbaechel_gowin_test_EXPORTS -I/gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/include/python3.11 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/himbaechel/uarch/gowin/gtest_include_dir -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/himbaechel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/common -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/frontend/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/json/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/rust/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/json11/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/place/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/route/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/oourafft/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/himbaechel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui -isystem /gnu/store/r94kayv6y93mj1hgc13k11klha366dsw-eigen-3.4.0/include/eigen3 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtWidgets -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtGui -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtCore -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/lib/qt5/mkspecs/linux-g++ -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -fdiagnostics-color=always -fPIC -MD -MT himbaechel/uarch/gowin/CMakeFiles/nextpnr-himbaechel-gowin-test.dir/__/__/__/tests/gui/quadtree.cc.o -MF CMakeFiles/nextpnr-himbaechel-gowin-test.dir/__/__/__/tests/gui/quadtree.cc.o.d -o CMakeFiles/nextpnr-himbaechel-gowin-test.dir/__/__/__/tests/gui/quadtree.cc.o -c /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/tests/gui/quadtree.cc [ 45%] Building CXX object himbaechel/uarch/gowin/CMakeFiles/nextpnr-himbaechel-gowin.dir/__/__/__/common/place/timing_opt.cc.o cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/himbaechel/uarch/gowin && /gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/bin/c++ -DARCHNAME=himbaechel -DARCH_HIMBAECHEL -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DNEXTPNR_NAMESPACE=nextpnr_himbaechel -DNO_RUST -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -Dnextpnr_himbaechel_gowin_EXPORTS -I/gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/include/python3.11 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/himbaechel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/common -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/frontend/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/json/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/rust/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/json11/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/place/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/route/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/oourafft/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/himbaechel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui -isystem /gnu/store/r94kayv6y93mj1hgc13k11klha366dsw-eigen-3.4.0/include/eigen3 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtWidgets -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtGui -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtCore -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/lib/qt5/mkspecs/linux-g++ -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -fdiagnostics-color=always -fPIC -MD -MT himbaechel/uarch/gowin/CMakeFiles/nextpnr-himbaechel-gowin.dir/__/__/__/common/place/timing_opt.cc.o -MF CMakeFiles/nextpnr-himbaechel-gowin.dir/__/__/__/common/place/timing_opt.cc.o.d -o CMakeFiles/nextpnr-himbaechel-gowin.dir/__/__/__/common/place/timing_opt.cc.o -c /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/place/timing_opt.cc [ 46%] Building CXX object himbaechel/uarch/gowin/CMakeFiles/nextpnr-himbaechel-gowin.dir/__/__/__/common/place/place_common.cc.o [ 46%] Building CXX object himbaechel/uarch/gowin/CMakeFiles/nextpnr-himbaechel-gowin.dir/__/__/__/common/place/placer_static.cc.o [ 46%] Building CXX object himbaechel/uarch/gowin/CMakeFiles/nextpnr-himbaechel-gowin.dir/__/__/__/common/place/placer_heap.cc.o cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/himbaechel/uarch/gowin && /gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/bin/c++ -DARCHNAME=himbaechel -DARCH_HIMBAECHEL -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DNEXTPNR_NAMESPACE=nextpnr_himbaechel -DNO_RUST -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -Dnextpnr_himbaechel_gowin_EXPORTS -I/gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/include/python3.11 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/himbaechel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/common -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/frontend/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/json/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/rust/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/json11/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/place/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/route/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/oourafft/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/himbaechel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui -isystem /gnu/store/r94kayv6y93mj1hgc13k11klha366dsw-eigen-3.4.0/include/eigen3 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtWidgets -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtGui -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtCore -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/lib/qt5/mkspecs/linux-g++ -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -fdiagnostics-color=always -fPIC -MD -MT himbaechel/uarch/gowin/CMakeFiles/nextpnr-himbaechel-gowin.dir/__/__/__/common/place/placer_static.cc.o -MF CMakeFiles/nextpnr-himbaechel-gowin.dir/__/__/__/common/place/placer_static.cc.o.d -o CMakeFiles/nextpnr-himbaechel-gowin.dir/__/__/__/common/place/placer_static.cc.o -c /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/place/placer_static.cc cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/himbaechel/uarch/gowin && /gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/bin/c++ -DARCHNAME=himbaechel -DARCH_HIMBAECHEL -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DNEXTPNR_NAMESPACE=nextpnr_himbaechel -DNO_RUST -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -Dnextpnr_himbaechel_gowin_EXPORTS -I/gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/include/python3.11 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/himbaechel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/common -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/frontend/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/json/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/rust/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/json11/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/place/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/route/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/oourafft/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/himbaechel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui -isystem /gnu/store/r94kayv6y93mj1hgc13k11klha366dsw-eigen-3.4.0/include/eigen3 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtWidgets -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtGui -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtCore -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/lib/qt5/mkspecs/linux-g++ -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -fdiagnostics-color=always -fPIC -MD -MT himbaechel/uarch/gowin/CMakeFiles/nextpnr-himbaechel-gowin.dir/__/__/__/common/place/placer_heap.cc.o -MF CMakeFiles/nextpnr-himbaechel-gowin.dir/__/__/__/common/place/placer_heap.cc.o.d -o CMakeFiles/nextpnr-himbaechel-gowin.dir/__/__/__/common/place/placer_heap.cc.o -c /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/place/placer_heap.cc cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/himbaechel/uarch/gowin && /gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/bin/c++ -DARCHNAME=himbaechel -DARCH_HIMBAECHEL -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DNEXTPNR_NAMESPACE=nextpnr_himbaechel -DNO_RUST -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -Dnextpnr_himbaechel_gowin_EXPORTS -I/gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/include/python3.11 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/himbaechel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/common -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/frontend/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/json/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/rust/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/json11/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/place/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/route/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/oourafft/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/himbaechel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui -isystem /gnu/store/r94kayv6y93mj1hgc13k11klha366dsw-eigen-3.4.0/include/eigen3 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtWidgets -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtGui -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtCore -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/lib/qt5/mkspecs/linux-g++ -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -fdiagnostics-color=always -fPIC -MD -MT himbaechel/uarch/gowin/CMakeFiles/nextpnr-himbaechel-gowin.dir/__/__/__/common/place/place_common.cc.o -MF CMakeFiles/nextpnr-himbaechel-gowin.dir/__/__/__/common/place/place_common.cc.o.d -o CMakeFiles/nextpnr-himbaechel-gowin.dir/__/__/__/common/place/place_common.cc.o -c /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/place/place_common.cc [ 46%] Building CXX object himbaechel/uarch/gowin/CMakeFiles/nextpnr-himbaechel-gowin.dir/__/__/__/common/place/detail_place_core.cc.o cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/himbaechel/uarch/gowin && /gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/bin/c++ -DARCHNAME=himbaechel -DARCH_HIMBAECHEL -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DNEXTPNR_NAMESPACE=nextpnr_himbaechel -DNO_RUST -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -Dnextpnr_himbaechel_gowin_EXPORTS -I/gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/include/python3.11 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/himbaechel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/common -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/frontend/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/json/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/rust/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/json11/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/place/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/route/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/oourafft/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/himbaechel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui -isystem /gnu/store/r94kayv6y93mj1hgc13k11klha366dsw-eigen-3.4.0/include/eigen3 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtWidgets -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtGui -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtCore -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/lib/qt5/mkspecs/linux-g++ -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -fdiagnostics-color=always -fPIC -MD -MT himbaechel/uarch/gowin/CMakeFiles/nextpnr-himbaechel-gowin.dir/__/__/__/common/place/detail_place_core.cc.o -MF CMakeFiles/nextpnr-himbaechel-gowin.dir/__/__/__/common/place/detail_place_core.cc.o.d -o CMakeFiles/nextpnr-himbaechel-gowin.dir/__/__/__/common/place/detail_place_core.cc.o -c /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/place/detail_place_core.cc [ 46%] Building CXX object himbaechel/uarch/gowin/CMakeFiles/nextpnr-himbaechel-gowin.dir/__/__/__/3rdparty/oourafft/fftsg2d.cc.o [ 46%] Building CXX object himbaechel/uarch/gowin/CMakeFiles/nextpnr-himbaechel-gowin.dir/__/__/__/3rdparty/oourafft/fftsg.cc.o cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/himbaechel/uarch/gowin && /gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/bin/c++ -DARCHNAME=himbaechel -DARCH_HIMBAECHEL -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DNEXTPNR_NAMESPACE=nextpnr_himbaechel -DNO_RUST -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -Dnextpnr_himbaechel_gowin_EXPORTS -I/gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/include/python3.11 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/himbaechel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/common -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/frontend/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/json/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/rust/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/json11/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/place/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/route/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/oourafft/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/himbaechel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui -isystem /gnu/store/r94kayv6y93mj1hgc13k11klha366dsw-eigen-3.4.0/include/eigen3 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtWidgets -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtGui -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtCore -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/lib/qt5/mkspecs/linux-g++ -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -fdiagnostics-color=always -fPIC -MD -MT himbaechel/uarch/gowin/CMakeFiles/nextpnr-himbaechel-gowin.dir/__/__/__/3rdparty/oourafft/fftsg.cc.o -MF CMakeFiles/nextpnr-himbaechel-gowin.dir/__/__/__/3rdparty/oourafft/fftsg.cc.o.d -o CMakeFiles/nextpnr-himbaechel-gowin.dir/__/__/__/3rdparty/oourafft/fftsg.cc.o -c /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/oourafft/fftsg.cc cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/himbaechel/uarch/gowin && /gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/bin/c++ -DARCHNAME=himbaechel -DARCH_HIMBAECHEL -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DNEXTPNR_NAMESPACE=nextpnr_himbaechel -DNO_RUST -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -Dnextpnr_himbaechel_gowin_EXPORTS -I/gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/include/python3.11 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/himbaechel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/common -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/frontend/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/json/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/rust/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/json11/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/place/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/route/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/oourafft/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/himbaechel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui -isystem /gnu/store/r94kayv6y93mj1hgc13k11klha366dsw-eigen-3.4.0/include/eigen3 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtWidgets -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtGui -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtCore -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/lib/qt5/mkspecs/linux-g++ -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -fdiagnostics-color=always -fPIC -MD -MT himbaechel/uarch/gowin/CMakeFiles/nextpnr-himbaechel-gowin.dir/__/__/__/3rdparty/oourafft/fftsg2d.cc.o -MF CMakeFiles/nextpnr-himbaechel-gowin.dir/__/__/__/3rdparty/oourafft/fftsg2d.cc.o.d -o CMakeFiles/nextpnr-himbaechel-gowin.dir/__/__/__/3rdparty/oourafft/fftsg2d.cc.o -c /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/oourafft/fftsg2d.cc [ 46%] Building CXX object himbaechel/uarch/gowin/CMakeFiles/nextpnr-himbaechel-gowin-test.dir/__/__/__/common/route/router1.cc.o cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/himbaechel/uarch/gowin && /gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/bin/c++ -DARCHNAME=himbaechel -DARCH_HIMBAECHEL -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DGTEST_LINKED_AS_SHARED_LIBRARY=1 -DNEXTPNR_NAMESPACE=nextpnr_himbaechel -DNO_RUST -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -Dnextpnr_himbaechel_gowin_test_EXPORTS -I/gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/include/python3.11 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/himbaechel/uarch/gowin/gtest_include_dir -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/himbaechel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/common -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/frontend/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/json/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/rust/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/json11/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/place/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/route/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/oourafft/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/himbaechel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui -isystem /gnu/store/r94kayv6y93mj1hgc13k11klha366dsw-eigen-3.4.0/include/eigen3 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtWidgets -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtGui -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtCore -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/lib/qt5/mkspecs/linux-g++ -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -fdiagnostics-color=always -fPIC -MD -MT himbaechel/uarch/gowin/CMakeFiles/nextpnr-himbaechel-gowin-test.dir/__/__/__/common/route/router1.cc.o -MF CMakeFiles/nextpnr-himbaechel-gowin-test.dir/__/__/__/common/route/router1.cc.o.d -o CMakeFiles/nextpnr-himbaechel-gowin-test.dir/__/__/__/common/route/router1.cc.o -c /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/route/router1.cc [ 46%] Building CXX object himbaechel/uarch/gowin/CMakeFiles/nextpnr-himbaechel-gowin.dir/__/__/__/common/route/router1.cc.o [ 46%] Building CXX object himbaechel/uarch/gowin/CMakeFiles/nextpnr-himbaechel-gowin.dir/__/__/__/common/route/router2.cc.o cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/himbaechel/uarch/gowin && /gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/bin/c++ -DARCHNAME=himbaechel -DARCH_HIMBAECHEL -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DNEXTPNR_NAMESPACE=nextpnr_himbaechel -DNO_RUST -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -Dnextpnr_himbaechel_gowin_EXPORTS -I/gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/include/python3.11 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/himbaechel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/common -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/frontend/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/json/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/rust/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/json11/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/place/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/route/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/oourafft/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/himbaechel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui -isystem /gnu/store/r94kayv6y93mj1hgc13k11klha366dsw-eigen-3.4.0/include/eigen3 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtWidgets -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtGui -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtCore -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/lib/qt5/mkspecs/linux-g++ -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -fdiagnostics-color=always -fPIC -MD -MT himbaechel/uarch/gowin/CMakeFiles/nextpnr-himbaechel-gowin.dir/__/__/__/common/route/router2.cc.o -MF CMakeFiles/nextpnr-himbaechel-gowin.dir/__/__/__/common/route/router2.cc.o.d -o CMakeFiles/nextpnr-himbaechel-gowin.dir/__/__/__/common/route/router2.cc.o -c /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/route/router2.cc cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/himbaechel/uarch/gowin && /gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/bin/c++ -DARCHNAME=himbaechel -DARCH_HIMBAECHEL -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DNEXTPNR_NAMESPACE=nextpnr_himbaechel -DNO_RUST -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -Dnextpnr_himbaechel_gowin_EXPORTS -I/gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/include/python3.11 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/himbaechel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/common -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/frontend/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/json/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/rust/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/json11/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/place/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/route/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/oourafft/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/himbaechel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui -isystem /gnu/store/r94kayv6y93mj1hgc13k11klha366dsw-eigen-3.4.0/include/eigen3 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtWidgets -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtGui -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtCore -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/lib/qt5/mkspecs/linux-g++ -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -fdiagnostics-color=always -fPIC -MD -MT himbaechel/uarch/gowin/CMakeFiles/nextpnr-himbaechel-gowin.dir/__/__/__/common/route/router1.cc.o -MF CMakeFiles/nextpnr-himbaechel-gowin.dir/__/__/__/common/route/router1.cc.o.d -o CMakeFiles/nextpnr-himbaechel-gowin.dir/__/__/__/common/route/router1.cc.o -c /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/route/router1.cc [ 46%] Building CXX object himbaechel/uarch/gowin/CMakeFiles/nextpnr-himbaechel-gowin.dir/__/__/__/frontend/json_frontend.cc.o [ 46%] Building CXX object himbaechel/uarch/gowin/CMakeFiles/nextpnr-himbaechel-gowin.dir/__/__/__/json/jsonwrite.cc.o cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/himbaechel/uarch/gowin && /gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/bin/c++ -DARCHNAME=himbaechel -DARCH_HIMBAECHEL -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DNEXTPNR_NAMESPACE=nextpnr_himbaechel -DNO_RUST -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -Dnextpnr_himbaechel_gowin_EXPORTS -I/gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/include/python3.11 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/himbaechel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/common -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/frontend/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/json/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/rust/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/json11/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/place/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/route/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/oourafft/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/himbaechel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui -isystem /gnu/store/r94kayv6y93mj1hgc13k11klha366dsw-eigen-3.4.0/include/eigen3 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtWidgets -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtGui -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtCore -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/lib/qt5/mkspecs/linux-g++ -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -fdiagnostics-color=always -fPIC -MD -MT himbaechel/uarch/gowin/CMakeFiles/nextpnr-himbaechel-gowin.dir/__/__/__/frontend/json_frontend.cc.o -MF CMakeFiles/nextpnr-himbaechel-gowin.dir/__/__/__/frontend/json_frontend.cc.o.d -o CMakeFiles/nextpnr-himbaechel-gowin.dir/__/__/__/frontend/json_frontend.cc.o -c /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/frontend/json_frontend.cc cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/himbaechel/uarch/gowin && /gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/bin/c++ -DARCHNAME=himbaechel -DARCH_HIMBAECHEL -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DNEXTPNR_NAMESPACE=nextpnr_himbaechel -DNO_RUST -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -Dnextpnr_himbaechel_gowin_EXPORTS -I/gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/include/python3.11 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/himbaechel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/common -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/frontend/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/json/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/rust/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/json11/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/place/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/route/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/oourafft/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/himbaechel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui -isystem /gnu/store/r94kayv6y93mj1hgc13k11klha366dsw-eigen-3.4.0/include/eigen3 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtWidgets -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtGui -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtCore -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/lib/qt5/mkspecs/linux-g++ -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -fdiagnostics-color=always -fPIC -MD -MT himbaechel/uarch/gowin/CMakeFiles/nextpnr-himbaechel-gowin.dir/__/__/__/json/jsonwrite.cc.o -MF CMakeFiles/nextpnr-himbaechel-gowin.dir/__/__/__/json/jsonwrite.cc.o.d -o CMakeFiles/nextpnr-himbaechel-gowin.dir/__/__/__/json/jsonwrite.cc.o -c /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/json/jsonwrite.cc [ 46%] Building CXX object himbaechel/uarch/gowin/CMakeFiles/nextpnr-himbaechel-gowin.dir/__/__/__/common/kernel/timing_log.cc.o cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/himbaechel/uarch/gowin && /gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/bin/c++ -DARCHNAME=himbaechel -DARCH_HIMBAECHEL -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DNEXTPNR_NAMESPACE=nextpnr_himbaechel -DNO_RUST -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -Dnextpnr_himbaechel_gowin_EXPORTS -I/gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/include/python3.11 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/himbaechel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/common -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/frontend/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/json/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/rust/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/json11/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/place/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/route/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/oourafft/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/himbaechel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui -isystem /gnu/store/r94kayv6y93mj1hgc13k11klha366dsw-eigen-3.4.0/include/eigen3 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtWidgets -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtGui -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtCore -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/lib/qt5/mkspecs/linux-g++ -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -fdiagnostics-color=always -fPIC -MD -MT himbaechel/uarch/gowin/CMakeFiles/nextpnr-himbaechel-gowin.dir/__/__/__/common/kernel/timing_log.cc.o -MF CMakeFiles/nextpnr-himbaechel-gowin.dir/__/__/__/common/kernel/timing_log.cc.o.d -o CMakeFiles/nextpnr-himbaechel-gowin.dir/__/__/__/common/kernel/timing_log.cc.o -c /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/timing_log.cc [ 46%] Building CXX object himbaechel/uarch/gowin/CMakeFiles/nextpnr-himbaechel-gowin.dir/__/__/__/common/kernel/nextpnr.cc.o [ 46%] Building CXX object himbaechel/uarch/gowin/CMakeFiles/nextpnr-himbaechel-gowin.dir/__/__/__/common/kernel/nextpnr_namespaces.cc.o cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/himbaechel/uarch/gowin && /gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/bin/c++ -DARCHNAME=himbaechel -DARCH_HIMBAECHEL -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DNEXTPNR_NAMESPACE=nextpnr_himbaechel -DNO_RUST -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -Dnextpnr_himbaechel_gowin_EXPORTS -I/gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/include/python3.11 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/himbaechel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/common -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/frontend/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/json/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/rust/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/json11/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/place/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/route/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/oourafft/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/himbaechel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui -isystem /gnu/store/r94kayv6y93mj1hgc13k11klha366dsw-eigen-3.4.0/include/eigen3 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtWidgets -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtGui -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtCore -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/lib/qt5/mkspecs/linux-g++ -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -fdiagnostics-color=always -fPIC -MD -MT himbaechel/uarch/gowin/CMakeFiles/nextpnr-himbaechel-gowin.dir/__/__/__/common/kernel/nextpnr_namespaces.cc.o -MF CMakeFiles/nextpnr-himbaechel-gowin.dir/__/__/__/common/kernel/nextpnr_namespaces.cc.o.d -o CMakeFiles/nextpnr-himbaechel-gowin.dir/__/__/__/common/kernel/nextpnr_namespaces.cc.o -c /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/nextpnr_namespaces.cc cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/himbaechel/uarch/gowin && /gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/bin/c++ -DARCHNAME=himbaechel -DARCH_HIMBAECHEL -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DNEXTPNR_NAMESPACE=nextpnr_himbaechel -DNO_RUST -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -Dnextpnr_himbaechel_gowin_EXPORTS -I/gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/include/python3.11 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/himbaechel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/common -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/frontend/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/json/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/rust/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/json11/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/place/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/route/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/oourafft/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/himbaechel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui -isystem /gnu/store/r94kayv6y93mj1hgc13k11klha366dsw-eigen-3.4.0/include/eigen3 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtWidgets -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtGui -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtCore -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/lib/qt5/mkspecs/linux-g++ -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -fdiagnostics-color=always -fPIC -MD -MT himbaechel/uarch/gowin/CMakeFiles/nextpnr-himbaechel-gowin.dir/__/__/__/common/kernel/nextpnr.cc.o -MF CMakeFiles/nextpnr-himbaechel-gowin.dir/__/__/__/common/kernel/nextpnr.cc.o.d -o CMakeFiles/nextpnr-himbaechel-gowin.dir/__/__/__/common/kernel/nextpnr.cc.o -c /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/nextpnr.cc Generate nodes for MESH[13x29]... Generate nodes for MESH[13x31]... Generate nodes for MESH[13x33]... Generate nodes for MESH[13x35]... Generate nodes for MESH[13x37]... Generate nodes for MESH[13x39]... Generate nodes for MESH[13x3]... Generate nodes for MESH[13x41]... Generate nodes for MESH[13x43]... Generate nodes for MESH[13x45]... Generate nodes for MESH[13x47]... Generate nodes for MESH[13x49]... Generate nodes for MESH[13x5]... Generate nodes for MESH[13x7]... Generate nodes for MESH[13x9]... Generate nodes for MESH[14x11]... Generate nodes for MESH[14x13]... Generate nodes for MESH[14x15]... Generate nodes for MESH[14x17]... Generate nodes for MESH[14x19]... Generate nodes for MESH[14x1]... Generate nodes for MESH[14x21]... Generate nodes for MESH[14x23]... Generate nodes for MESH[14x25]... Generate nodes for MESH[14x27]... Generate nodes for MESH[14x29]... Generate nodes for MESH[14x31]... Generate nodes for MESH[14x33]... Generate nodes for MESH[14x35]... Generate nodes for MESH[14x37]... Generate nodes for MESH[14x39]... Generate nodes for MESH[14x3]... Generate nodes for MESH[14x41]... Generate nodes for MESH[14x43]... Generate nodes for MESH[14x45]... Generate nodes for MESH[14x47]... Generate nodes for MESH[14x49]... Generate nodes for MESH[14x5]... Generate nodes for MESH[14x7]... Generate nodes for MESH[14x9]... Generate nodes for MESH[15x11]... Generate nodes for MESH[15x13]... Generate nodes for MESH[15x15]... Generate nodes for MESH[15x17]... Generate nodes for MESH[15x19]... Generate nodes for MESH[15x1]... Generate nodes for MESH[15x21]... Generate nodes for MESH[15x23]... Generate nodes for MESH[15x25]... Generate nodes for MESH[15x27]... Generate nodes for MESH[15x29]... Generate nodes for MESH[15x31]... Generate nodes for MESH[15x33]... Generate nodes for MESH[15x35]... Generate nodes for MESH[15x37]... Generate nodes for MESH[15x39]... Generate nodes for MESH[15x3]... Generate nodes for MESH[15x41]... Generate nodes for MESH[15x43]... Generate nodes for MESH[15x45]... Generate nodes for MESH[15x47]... Generate nodes for MESH[15x49]... Generate nodes for MESH[15x5]... Generate nodes for MESH[15x7]... Generate nodes for MESH[15x9]... Generate nodes for MESH[16x11]... Generate nodes for MESH[16x13]... Generate nodes for MESH[16x15]... Generate nodes for MESH[16x17]... Generate nodes for MESH[16x19]... Generate nodes for MESH[16x1]... Generate nodes for MESH[16x21]... Generate nodes for MESH[16x23]... Generate nodes for MESH[16x25]... Generate nodes for MESH[16x27]... Generate nodes for MESH[16x29]... Generate nodes for MESH[16x31]... Generate nodes for MESH[16x33]... Generate nodes for MESH[16x35]... Generate nodes for MESH[16x37]... Generate nodes for MESH[16x39]... Generate nodes for MESH[16x3]... Generate nodes for MESH[16x41]... Generate nodes for MESH[16x43]... Generate nodes for MESH[16x45]... Generate nodes for MESH[16x47]... Generate nodes for MESH[16x49]... Generate nodes for MESH[16x5]... Generate nodes for MESH[16x7]... Generate nodes for MESH[16x9]... Generate nodes for MESH[17x11]... Generate nodes for MESH[17x13]... Generate nodes for MESH[17x15]... Generate nodes for MESH[17x17]... Generate nodes for MESH[17x19]... Generate nodes for MESH[17x1]... Generate nodes for MESH[17x21]... Generate nodes for MESH[17x23]... Generate nodes for MESH[17x25]... Generate nodes for MESH[17x27]... Generate nodes for MESH[17x29]... Generate nodes for MESH[17x31]... Generate nodes for MESH[17x33]... Generate nodes for MESH[17x35]... Generate nodes for MESH[17x37]... Generate nodes for MESH[17x39]... Generate nodes for MESH[17x3]... Generate nodes for MESH[17x41]... Generate nodes for MESH[17x43]... Generate nodes for MESH[17x45]... Generate nodes for MESH[17x47]... Generate nodes for MESH[17x49]... Generate nodes for MESH[17x5]... Generate nodes for MESH[17x7]... Generate nodes for MESH[17x9]... Generate nodes for MESH[18x11]... Generate nodes for MESH[18x13]... Generate nodes for MESH[18x15]... Generate nodes for MESH[18x17]... Generate nodes for MESH[18x19]... Generate nodes for MESH[18x1]... Generate nodes for MESH[18x21]... Generate nodes for MESH[18x23]... Generate nodes for MESH[18x25]... Generate nodes for MESH[18x27]... Generate nodes for MESH[18x29]... Generate nodes for MESH[18x31]... Generate nodes for MESH[18x33]... Generate nodes for MESH[18x35]... Generate nodes for MESH[18x37]... Generate nodes for MESH[18x39]... Generate nodes for MESH[18x3]... Generate nodes for MESH[18x41]... Generate nodes for MESH[18x43]... Generate nodes for MESH[18x45]... Generate nodes for MESH[18x47]... Generate nodes for MESH[18x49]... Generate nodes for MESH[18x5]... Generate nodes for MESH[18x7]... Generate nodes for MESH[18x9]... Generate nodes for MESH[19x11]... Generate nodes for MESH[19x13]... Generate nodes for MESH[19x15]... Generate nodes for MESH[19x17]... Generate nodes for MESH[19x19]... Generate nodes for MESH[19x1]... Generate nodes for MESH[19x21]... Generate nodes for MESH[19x23]... Generate nodes for MESH[19x25]... Generate nodes for MESH[19x27]... Generate nodes for MESH[19x29]... Generate nodes for MESH[19x31]... Generate nodes for MESH[19x33]... Generate nodes for MESH[19x35]... Generate nodes for MESH[19x37]... Generate nodes for MESH[19x39]... Generate nodes for MESH[19x3]... Generate nodes for MESH[19x41]... Generate nodes for MESH[19x43]... Generate nodes for MESH[19x45]... Generate nodes for MESH[19x47]... Generate nodes for MESH[19x49]... Generate nodes for MESH[19x5]... Generate nodes for MESH[19x7]... Generate nodes for MESH[19x9]... Generate nodes for MESH[1x11]... Generate nodes for MESH[1x13]... Generate nodes for MESH[1x15]... Generate nodes for MESH[1x17]... Generate nodes for MESH[1x19]... Generate nodes for MESH[1x1]... Generate nodes for MESH[1x21]... Generate nodes for MESH[1x23]... Generate nodes for MESH[1x25]... Generate nodes for MESH[1x37]... Generate nodes for MESH[1x39]... Generate nodes for MESH[1x3]... Generate nodes for MESH[1x41]... Generate nodes for MESH[1x43]... Generate nodes for MESH[1x45]... Generate nodes for MESH[1x47]... Generate nodes for MESH[1x49]... Generate nodes for MESH[1x5]... Generate nodes for MESH[1x7]... Generate nodes for MESH[1x9]... Generate nodes for MESH[20x11]... Generate nodes for MESH[20x13]... Generate nodes for MESH[20x15]... Generate nodes for MESH[20x17]... Generate nodes for MESH[20x19]... Generate nodes for MESH[20x1]... Generate nodes for MESH[20x21]... Generate nodes for MESH[20x23]... Generate nodes for MESH[20x25]... Generate nodes for MESH[20x27]... Generate nodes for MESH[20x29]... Generate nodes for MESH[20x31]... Generate nodes for MESH[20x33]... Generate nodes for MESH[20x35]... Generate nodes for MESH[20x37]... Generate nodes for MESH[20x39]... Generate nodes for MESH[20x3]... Generate nodes for MESH[20x41]... Generate nodes for MESH[20x43]... Generate nodes for MESH[20x45]... Generate nodes for MESH[20x47]... Generate nodes for MESH[20x49]... Generate nodes for MESH[20x5]... Generate nodes for MESH[20x7]... Generate nodes for MESH[20x9]... Generate nodes for MESH[21x11]... Generate nodes for MESH[21x13]... Generate nodes for MESH[21x15]... Generate nodes for MESH[21x17]... Generate nodes for MESH[21x19]... Generate nodes for MESH[21x1]... Generate nodes for MESH[21x21]... Generate nodes for MESH[21x23]... Generate nodes for MESH[21x25]... Generate nodes for MESH[21x27]... Generate nodes for MESH[21x29]... Generate nodes for MESH[21x31]... Generate nodes for MESH[21x33]... Generate nodes for MESH[21x35]... Generate nodes for MESH[21x37]... Generate nodes for MESH[21x39]... Generate nodes for MESH[21x3]... Generate nodes for MESH[21x41]... Generate nodes for MESH[21x43]... Generate nodes for MESH[21x45]... Generate nodes for MESH[21x47]... Generate nodes for MESH[21x49]... Generate nodes for MESH[21x5]... Generate nodes for MESH[21x7]... Generate nodes for MESH[21x9]... Generate nodes for MESH[22x11]... Generate nodes for MESH[22x13]... Generate nodes for MESH[22x15]... Generate nodes for MESH[22x17]... Generate nodes for MESH[22x19]... Generate nodes for MESH[22x1]... Generate nodes for MESH[22x21]... Generate nodes for MESH[22x23]...[ 46%] Building CXX object himbaechel/uarch/gowin/CMakeFiles/nextpnr-himbaechel-gowin-test.dir/gowin.cc.o [ 46%] Building CXX object himbaechel/uarch/gowin/CMakeFiles/nextpnr-himbaechel-gowin-test.dir/globals.cc.o cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/himbaechel/uarch/gowin && /gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/bin/c++ -DARCHNAME=himbaechel -DARCH_HIMBAECHEL -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DGTEST_LINKED_AS_SHARED_LIBRARY=1 -DNEXTPNR_NAMESPACE=nextpnr_himbaechel -DNO_RUST -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -Dnextpnr_himbaechel_gowin_test_EXPORTS -I/gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/include/python3.11 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/himbaechel/uarch/gowin/gtest_include_dir -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/himbaechel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/common -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/frontend/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/json/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/rust/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/json11/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/place/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/route/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/oourafft/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/himbaechel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui -isystem /gnu/store/r94kayv6y93mj1hgc13k11klha366dsw-eigen-3.4.0/include/eigen3 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtWidgets -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtGui -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtCore -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/lib/qt5/mkspecs/linux-g++ -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -fdiagnostics-color=always -fPIC -MD -MT himbaechel/uarch/gowin/CMakeFiles/nextpnr-himbaechel-gowin-test.dir/globals.cc.o -MF CMakeFiles/nextpnr-himbaechel-gowin-test.dir/globals.cc.o.d -o CMakeFiles/nextpnr-himbaechel-gowin-test.dir/globals.cc.o -c /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/himbaechel/uarch/gowin/globals.cc cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/himbaechel/uarch/gowin && /gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/bin/c++ -DARCHNAME=himbaechel -DARCH_HIMBAECHEL -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DGTEST_LINKED_AS_SHARED_LIBRARY=1 -DNEXTPNR_NAMESPACE=nextpnr_himbaechel -DNO_RUST -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -Dnextpnr_himbaechel_gowin_test_EXPORTS -I/gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/include/python3.11 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/himbaechel/uarch/gowin/gtest_include_dir -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/himbaechel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/common -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/frontend/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/json/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/rust/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/json11/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/place/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/route/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/oourafft/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/himbaechel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui -isystem /gnu/store/r94kayv6y93mj1hgc13k11klha366dsw-eigen-3.4.0/include/eigen3 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtWidgets -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtGui -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtCore -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/lib/qt5/mkspecs/linux-g++ -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -fdiagnostics-color=always -fPIC -MD -MT himbaechel/uarch/gowin/CMakeFiles/nextpnr-himbaechel-gowin-test.dir/gowin.cc.o -MF CMakeFiles/nextpnr-himbaechel-gowin-test.dir/gowin.cc.o.d -o CMakeFiles/nextpnr-himbaechel-gowin-test.dir/gowin.cc.o -c /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/himbaechel/uarch/gowin/gowin.cc In file included from /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/nextpnr_assertions.h:27, from /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/hashlib.h:22, from /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/log.h:30, from /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/himbaechel/uarch/gowin/gowin_utils.cc:1: /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/himbaechel/uarch/gowin/gowin.h:187:14: warning: ignoring packed attribute because of unpacked non-POD field ‘nextpnr_himbaechel::IdString nextpnr_himbaechel::{anonymous}::Extra_chip_data_POD::dcs_prefix’ 187 | IdString dcs_prefix; | ^~~~~~~~~~ /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/nextpnr_namespaces.h:42:33: note: in definition of macro ‘NPNR_PACKED_STRUCT’ 42 | #define NPNR_PACKED_STRUCT(...) __VA_ARGS__ __attribute__((packed)) | ^~~~~~~~~~~ In file included from /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/nextpnr_assertions.h:27, from /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/hashlib.h:22, from /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/basectx.h:31, from /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/arch_api.h:26, from /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/base_arch.h:27, from /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/nextpnr.h:23, from /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/design_utils.h:21, from /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/himbaechel/uarch/gowin/pack.cc:3: /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/himbaechel/uarch/gowin/gowin.h:187:14: warning: ignoring packed attribute because of unpacked non-POD field ‘nextpnr_himbaechel::IdString nextpnr_himbaechel::{anonymous}::Extra_chip_data_POD::dcs_prefix’ 187 | IdString dcs_prefix; | ^~~~~~~~~~ /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/nextpnr_namespaces.h:42:33: note: in definition of macro ‘NPNR_PACKED_STRUCT’ 42 | #define NPNR_PACKED_STRUCT(...) __VA_ARGS__ __attribute__((packed)) | ^~~~~~~~~~~ Generate nodes for MESH[22x25]... Generate nodes for MESH[22x27]... Generate nodes for MESH[22x29]... Generate nodes for MESH[22x31]... Generate nodes for MESH[22x33]... Generate nodes for MESH[22x35]... Generate nodes for MESH[22x37]... Generate nodes for MESH[22x39]... Generate nodes for MESH[22x3]... Generate nodes for MESH[22x41]... Generate nodes for MESH[22x43]... Generate nodes for MESH[22x45]... Generate nodes for MESH[22x47]... Generate nodes for MESH[22x49]... Generate nodes for MESH[22x5]... Generate nodes for MESH[22x7]... Generate nodes for MESH[22x9]... Generate nodes for MESH[23x11]... Generate nodes for MESH[23x13]... Generate nodes for MESH[23x15]... Generate nodes for MESH[23x17]... Generate nodes for MESH[23x19]... Generate nodes for MESH[23x1]... Generate nodes for MESH[23x21]... Generate nodes for MESH[23x23]... Generate nodes for MESH[23x25]... Generate nodes for MESH[23x27]... Generate nodes for MESH[23x29]... Generate nodes for MESH[23x31]... Generate nodes for MESH[23x33]... Generate nodes for MESH[23x35]... Generate nodes for MESH[23x37]... Generate nodes for MESH[23x39]... Generate nodes for MESH[23x3]... Generate nodes for MESH[23x41]... Generate nodes for MESH[23x43]... Generate nodes for MESH[23x45]... Generate nodes for MESH[23x47]... Generate nodes for MESH[23x49]... Generate nodes for MESH[23x5]... Generate nodes for MESH[23x7]... Generate nodes for MESH[23x9]... Generate nodes for MESH[24x11]... Generate nodes for MESH[24x13]... Generate nodes for MESH[24x15]... Generate nodes for MESH[24x17]... Generate nodes for MESH[24x19]... Generate nodes for MESH[24x1]... Generate nodes for MESH[24x21]... Generate nodes for MESH[24x23]... Generate nodes for MESH[24x25]... Generate nodes for MESH[24x27]... Generate nodes for MESH[24x29]... Generate nodes for MESH[24x31]... Generate nodes for MESH[24x33]... Generate nodes for MESH[24x35]... Generate nodes for MESH[24x37]... Generate nodes for MESH[24x39]... Generate nodes for MESH[24x3]... Generate nodes for MESH[24x41]... Generate nodes for MESH[24x43]... Generate nodes for MESH[24x45]... Generate nodes for MESH[24x47]... Generate nodes for MESH[24x49]... Generate nodes for MESH[24x5]... Generate nodes for MESH[24x7]... Generate nodes for MESH[24x9]... Generate nodes for MESH[25x11]... Generate nodes for MESH[25x13]... Generate nodes for MESH[25x15]... Generate nodes for MESH[25x17]... Generate nodes for MESH[25x19]... Generate nodes for MESH[25x1]... Generate nodes for MESH[25x21]... Generate nodes for MESH[25x23]... Generate nodes for MESH[25x25]... Generate nodes for MESH[25x27]... Generate nodes for MESH[25x29]... Generate nodes for MESH[25x31]... Generate nodes for MESH[25x33]... Generate nodes for MESH[25x35]... Generate nodes for MESH[25x37]... Generate nodes for MESH[25x39]... Generate nodes for MESH[25x3]... Generate nodes for MESH[25x41]... Generate nodes for MESH[25x43]... Generate nodes for MESH[25x45]... Generate nodes for MESH[25x47]... Generate nodes for MESH[25x49]... Generate nodes for MESH[25x5]... Generate nodes for MESH[25x7]... Generate nodes for MESH[25x9]... Generate nodes for MESH[26x11]... Generate nodes for MESH[26x13]... Generate nodes for MESH[26x15]... Generate nodes for MESH[26x17]... Generate nodes for MESH[26x19]... Generate nodes for MESH[26x1]... Generate nodes for MESH[26x21]... Generate nodes for MESH[26x23]... Generate nodes for MESH[26x25]... Generate nodes for MESH[26x27]... Generate nodes for MESH[26x29]... Generate nodes for MESH[26x31]... Generate nodes for MESH[26x33]... Generate nodes for MESH[26x35]... Generate nodes for MESH[26x37]... Generate nodes for MESH[26x39]... Generate nodes for MESH[26x3]... Generate nodes for MESH[26x41]... Generate nodes for MESH[26x43]... Generate nodes for MESH[26x45]... Generate nodes for MESH[26x47]... Generate nodes for MESH[26x49]... Generate nodes for MESH[26x5]... Generate nodes for MESH[26x7]... Generate nodes for MESH[26x9]... Generate nodes for MESH[27x11]... Generate nodes for MESH[27x13]... Generate nodes for MESH[27x15]... Generate nodes for MESH[27x17]... Generate nodes for MESH[27x19]... Generate nodes for MESH[27x1]... Generate nodes for MESH[27x21]... Generate nodes for MESH[27x23]... Generate nodes for MESH[27x25]... Generate nodes for MESH[27x27]... Generate nodes for MESH[27x29]... Generate nodes for MESH[27x31]... Generate nodes for MESH[27x33]... Generate nodes for MESH[27x35]... Generate nodes for MESH[27x37]... Generate nodes for MESH[27x39]... Generate nodes for MESH[27x3]... Generate nodes for MESH[27x41]... Generate nodes for MESH[27x43]... Generate nodes for MESH[27x45]... Generate nodes for MESH[27x47]... Generate nodes for MESH[27x49]... Generate nodes for MESH[27x5]... Generate nodes for MESH[27x7]... Generate nodes for MESH[27x9]... Generate nodes for MESH[28x11]... Generate nodes for MESH[28x13]... Generate nodes for MESH[28x15]... Generate nodes for MESH[28x17]... Generate nodes for MESH[28x19]... Generate nodes for MESH[28x1]... Generate nodes for MESH[28x21]... Generate nodes for MESH[28x23]... Generate nodes for MESH[28x25]... Generate nodes for MESH[28x27]... Generate nodes for MESH[28x29]... Generate nodes for MESH[28x31]... Generate nodes for MESH[28x33]... Generate nodes for MESH[28x35]... Generate nodes for MESH[28x37]... Generate nodes for MESH[28x39]... Generate nodes for MESH[28x3]... Generate nodes for MESH[28x41]... Generate nodes for MESH[28x43]... Generate nodes for MESH[28x45]... Generate nodes for MESH[28x47]... Generate nodes for MESH[28x49]... Generate nodes for MESH[28x5]... Generate nodes for MESH[28x7]... Generate nodes for MESH[28x9]... Generate nodes for MESH[29x11]... Generate nodes for MESH[29x13]... Generate nodes for MESH[29x15]... Generate nodes for MESH[29x17]... Generate nodes for MESH[29x19]... Generate nodes for MESH[29x1]... Generate nodes for MESH[29x21]... Generate nodes for MESH[29x23]... Generate nodes for MESH[29x25]... Generate nodes for MESH[29x27]... Generate nodes for MESH[29x29]... Generate nodes for MESH[29x31]... Generate nodes for MESH[29x33]... Generate nodes for MESH[29x35]... Generate nodes for MESH[29x37]... Generate nodes for MESH[29x39]... Generate nodes for MESH[29x3]... Generate nodes for MESH[29x41]... Generate nodes for MESH[29x43]... Generate nodes for MESH[29x45]... Generate nodes for MESH[29x47]... Generate nodes for MESH[29x49]... Generate nodes for MESH[29x5]... Generate nodes for MESH[29x7]... Generate nodes for MESH[29x9]... Generate nodes for MESH[2x11]... Generate nodes for MESH[2x13]... Generate nodes for MESH[2x15]... Generate nodes for MESH[2x17]... Generate nodes for MESH[2x19]... Generate nodes for MESH[2x1]... Generate nodes for MESH[2x21]... Generate nodes for MESH[2x23]... Generate nodes for MESH[2x25]... Generate nodes for MESH[2x37]... Generate nodes for MESH[2x39]... Generate nodes for MESH[2x3]... Generate nodes for MESH[2x41]... Generate nodes for MESH[2x43]... Generate nodes for MESH[2x45]... Generate nodes for MESH[2x47]... Generate nodes for MESH[2x49]... Generate nodes for MESH[2x5]... Generate nodes for MESH[2x7]... Generate nodes for MESH[2x9]... Generate nodes for MESH[30x11]... Generate nodes for MESH[30x13]... Generate nodes for MESH[30x15]... Generate nodes for MESH[30x17]... Generate nodes for MESH[30x19]... Generate nodes for MESH[30x1]... Generate nodes for MESH[30x21]... Generate nodes for MESH[30x23]... Generate nodes for MESH[30x25]... Generate nodes for MESH[30x27]... Generate nodes for MESH[30x29]... Generate nodes for MESH[30x31]... Generate nodes for MESH[30x33]... Generate nodes for MESH[30x35]... Generate nodes for MESH[30x37]... Generate nodes for MESH[30x39]... Generate nodes for MESH[30x3]... Generate nodes for MESH[30x41]... Generate nodes for MESH[30x43]... Generate nodes for MESH[30x45]... Generate nodes for MESH[30x47]... Generate nodes for MESH[30x49]... Generate nodes for MESH[30x5]... Generate nodes for MESH[30x7]... Generate nodes for MESH[30x9]... Generate nodes for MESH[31x11]... Generate nodes for MESH[31x13]... Generate nodes for MESH[31x15]... Generate nodes for MESH[31x17]... Generate nodes for MESH[31x19]... Generate nodes for MESH[31x1]...In file included from /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/nextpnr_assertions.h:27, from /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/hashlib.h:22, from /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/log.h:30, from /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/himbaechel/uarch/gowin/globals.cc:20: /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/himbaechel/uarch/gowin/gowin.h:187:14: warning: ignoring packed attribute because of unpacked non-POD field ‘nextpnr_himbaechel::IdString nextpnr_himbaechel::{anonymous}::Extra_chip_data_POD::dcs_prefix’ 187 | IdString dcs_prefix; | ^~~~~~~~~~ /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/nextpnr_namespaces.h:42:33: note: in definition of macro ‘NPNR_PACKED_STRUCT’ 42 | #define NPNR_PACKED_STRUCT(...) __VA_ARGS__ __attribute__((packed)) | ^~~~~~~~~~~ In file included from /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/himbaechel/himbaechel_api.h:23, from /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/himbaechel/uarch/gowin/gowin.cc:4: /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/himbaechel/uarch/gowin/gowin.h:187:14: warning: ignoring packed attribute because of unpacked non-POD field ‘nextpnr_himbaechel::IdString nextpnr_himbaechel::{anonymous}::Extra_chip_data_POD::dcs_prefix’ 187 | IdString dcs_prefix; | ^~~~~~~~~~ /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/nextpnr_namespaces.h:42:33: note: in definition of macro ‘NPNR_PACKED_STRUCT’ 42 | #define NPNR_PACKED_STRUCT(...) __VA_ARGS__ __attribute__((packed)) | ^~~~~~~~~~~ Generate nodes for MESH[31x21]... Generate nodes for MESH[31x23]... Generate nodes for MESH[31x25]... Generate nodes for MESH[31x27]... Generate nodes for MESH[31x29]... Generate nodes for MESH[31x31]... Generate nodes for MESH[31x33]... Generate nodes for MESH[31x35]... Generate nodes for MESH[31x37]... Generate nodes for MESH[31x39]... Generate nodes for MESH[31x3]... Generate nodes for MESH[31x41]... Generate nodes for MESH[31x43]... Generate nodes for MESH[31x45]... Generate nodes for MESH[31x47]... Generate nodes for MESH[31x49]... Generate nodes for MESH[31x5]... Generate nodes for MESH[31x7]... Generate nodes for MESH[31x9]... Generate nodes for MESH[32x11]... Generate nodes for MESH[32x13]... Generate nodes for MESH[32x15]... Generate nodes for MESH[32x17]... Generate nodes for MESH[32x19]... Generate nodes for MESH[32x1]... Generate nodes for MESH[32x21]... Generate nodes for MESH[32x23]... Generate nodes for MESH[32x25]... Generate nodes for MESH[32x27]... Generate nodes for MESH[32x29]... Generate nodes for MESH[32x31]... Generate nodes for MESH[32x33]... Generate nodes for MESH[32x35]... Generate nodes for MESH[32x37]... Generate nodes for MESH[32x39]... Generate nodes for MESH[32x3]... Generate nodes for MESH[32x41]... Generate nodes for MESH[32x43]... Generate nodes for MESH[32x45]... Generate nodes for MESH[32x47]... Generate nodes for MESH[32x49]... Generate nodes for MESH[32x5]... Generate nodes for MESH[32x7]... Generate nodes for MESH[32x9]... Generate nodes for MESH[33x15]... Generate nodes for MESH[33x17]... Generate nodes for MESH[33x19]... Generate nodes for MESH[33x21]... Generate nodes for MESH[33x23]... Generate nodes for MESH[33x25]... Generate nodes for MESH[33x27]... Generate nodes for MESH[33x29]... Generate nodes for MESH[33x31]... Generate nodes for MESH[33x33]... Generate nodes for MESH[33x35]... Generate nodes for MESH[33x37]... Generate nodes for MESH[33x39]... Generate nodes for MESH[33x41]... Generate nodes for MESH[33x43]... Generate nodes for MESH[33x45]... Generate nodes for MESH[33x47]... Generate nodes for MESH[33x49]... Generate nodes for MESH[34x15]... Generate nodes for MESH[34x17]... Generate nodes for MESH[34x19]... Generate nodes for MESH[34x21]... Generate nodes for MESH[34x23]... Generate nodes for MESH[34x25]... Generate nodes for MESH[34x27]... Generate nodes for MESH[34x29]... Generate nodes for MESH[34x31]... Generate nodes for MESH[34x33]... Generate nodes for MESH[34x35]... Generate nodes for MESH[34x37]... Generate nodes for MESH[34x39]... Generate nodes for MESH[34x41]... Generate nodes for MESH[34x43]... Generate nodes for MESH[34x45]... Generate nodes for MESH[34x47]... Generate nodes for MESH[34x49]... Generate nodes for MESH[35x15]... Generate nodes for MESH[35x17]... Generate nodes for MESH[35x19]... Generate nodes for MESH[35x21]... Generate nodes for MESH[35x23]... Generate nodes for MESH[35x25]... Generate nodes for MESH[35x27]... Generate nodes for MESH[35x29]... Generate nodes for MESH[35x31]... Generate nodes for MESH[35x33]... Generate nodes for MESH[35x35]... Generate nodes for MESH[35x37]... Generate nodes for MESH[35x39]... Generate nodes for MESH[35x41]... Generate nodes for MESH[35x43]... Generate nodes for MESH[35x45]... Generate nodes for MESH[35x47]... Generate nodes for MESH[35x49]... Generate nodes for MESH[36x15]... Generate nodes for MESH[36x17]... Generate nodes for MESH[36x19]... Generate nodes for MESH[36x21]... Generate nodes for MESH[36x23]... Generate nodes for MESH[36x25]... Generate nodes for MESH[36x27]... Generate nodes for MESH[36x29]... Generate nodes for MESH[36x31]... Generate nodes for MESH[36x33]... Generate nodes for MESH[36x35]... Generate nodes for MESH[36x37]... Generate nodes for MESH[36x39]... Generate nodes for MESH[36x41]... Generate nodes for MESH[36x43]... Generate nodes for MESH[36x45]... Generate nodes for MESH[36x47]... Generate nodes for MESH[36x49]... Generate nodes for MESH[37x15]... Generate nodes for MESH[37x17]... Generate nodes for MESH[37x19]... Generate nodes for MESH[37x21]... Generate nodes for MESH[37x23]... Generate nodes for MESH[37x25]... Generate nodes for MESH[37x27]... Generate nodes for MESH[37x29]... Generate nodes for MESH[37x31]... Generate nodes for MESH[37x33]... Generate nodes for MESH[37x35]... Generate nodes for MESH[37x37]... Generate nodes for MESH[37x39]... Generate nodes for MESH[37x41]... Generate nodes for MESH[37x43]... Generate nodes for MESH[37x45]... Generate nodes for MESH[37x47]... Generate nodes for MESH[37x49]... Generate nodes for MESH[38x15]... Generate nodes for MESH[38x17]... Generate nodes for MESH[38x19]... Generate nodes for MESH[38x21]... Generate nodes for MESH[38x23]... Generate nodes for MESH[38x25]... Generate nodes for MESH[38x27]... Generate nodes for MESH[38x29]... Generate nodes for MESH[38x31]... Generate nodes for MESH[38x33]... Generate nodes for MESH[38x35]... Generate nodes for MESH[38x37]... Generate nodes for MESH[38x39]... Generate nodes for MESH[38x41]... Generate nodes for MESH[38x43]... Generate nodes for MESH[38x45]... Generate nodes for MESH[38x47]... Generate nodes for MESH[38x49]... Generate nodes for MESH[39x15]... Generate nodes for MESH[39x17]... Generate nodes for MESH[39x19]... Generate nodes for MESH[39x21]... Generate nodes for MESH[39x23]... Generate nodes for MESH[39x25]... Generate nodes for MESH[39x27]... Generate nodes for MESH[39x29]... Generate nodes for MESH[39x31]... Generate nodes for MESH[39x33]... Generate nodes for MESH[39x35]... Generate nodes for MESH[39x37]... Generate nodes for MESH[39x39]... Generate nodes for MESH[39x41]... Generate nodes for MESH[39x43]... Generate nodes for MESH[39x45]... Generate nodes for MESH[39x47]... Generate nodes for MESH[39x49]... Generate nodes for MESH[3x11]... Generate nodes for MESH[3x13]... Generate nodes for MESH[3x15]... Generate nodes for MESH[3x17]... Generate nodes for MESH[3x19]... Generate nodes for MESH[3x1]... Generate nodes for MESH[3x21]... Generate nodes for MESH[3x23]... Generate nodes for MESH[3x25]... Generate nodes for MESH[3x27]... Generate nodes for MESH[3x29]... Generate nodes for MESH[3x31]... Generate nodes for MESH[3x33]... Generate nodes for MESH[3x35]... Generate nodes for MESH[3x37]... Generate nodes for MESH[3x39]... Generate nodes for MESH[3x3]... Generate nodes for MESH[3x41]... Generate nodes for MESH[3x43]... Generate nodes for MESH[3x45]... Generate nodes for MESH[3x47]... Generate nodes for MESH[3x49]... Generate nodes for MESH[3x5]... Generate nodes for MESH[3x7]... Generate nodes for MESH[3x9]... Generate nodes for MESH[40x15]... Generate nodes for MESH[40x17]... Generate nodes for MESH[40x19]... Generate nodes for MESH[40x21]... Generate nodes for MESH[40x23]... Generate nodes for MESH[40x25]... Generate nodes for MESH[40x27]... Generate nodes for MESH[40x29]... Generate nodes for MESH[40x31]... Generate nodes for MESH[40x33]... Generate nodes for MESH[40x35]... Generate nodes for MESH[40x37]... Generate nodes for MESH[40x39]... Generate nodes for MESH[40x41]... Generate nodes for MESH[40x43]... Generate nodes for MESH[40x45]... Generate nodes for MESH[40x47]... Generate nodes for MESH[40x49]... Generate nodes for MESH[41x15]... Generate nodes for MESH[41x17]... Generate nodes for MESH[41x19]... Generate nodes for MESH[41x21]... Generate nodes for MESH[41x23]... Generate nodes for MESH[41x25]... Generate nodes for MESH[41x27]... Generate nodes for MESH[41x29]... Generate nodes for MESH[41x31]... Generate nodes for MESH[41x33]... Generate nodes for MESH[41x35]... Generate nodes for MESH[41x37]... Generate nodes for MESH[41x39]... Generate nodes for MESH[41x41]... Generate nodes for MESH[41x43]... Generate nodes for MESH[41x45]... Generate nodes for MESH[41x47]... Generate nodes for MESH[41x49]... Generate nodes for MESH[42x15]... Generate nodes for MESH[42x17]... Generate nodes for MESH[42x19]... Generate nodes for MESH[42x21]... Generate nodes for MESH[42x23]... Generate nodes for MESH[42x25]... Generate nodes for MESH[42x27]... Generate nodes for MESH[42x29]... Generate nodes for MESH[42x31]... Generate nodes for MESH[42x33]... Generate nodes for MESH[42x35]... Generate nodes for MESH[42x37]... Generate nodes for MESH[42x39]... Generate nodes for MESH[42x41]... Generate nodes for MESH[42x43]... Generate nodes for MESH[42x45]... Generate nodes for MESH[42x47]... Generate nodes for MESH[42x49]... Generate nodes for MESH[43x15]... Generate nodes for MESH[43x17]... Generate nodes for MESH[43x19]... Generate nodes for MESH[43x21]... Generate nodes for MESH[43x23]... Generate nodes for MESH[43x25]... Generate nodes for MESH[43x27]... Generate nodes for MESH[43x29]... Generate nodes for MESH[43x31]... Generate nodes for MESH[43x33]... Generate nodes for MESH[43x35]... Generate nodes for MESH[43x37]... Generate nodes for MESH[43x39]... Generate nodes for MESH[43x41]... Generate nodes for MESH[43x43]... Generate nodes for MESH[43x45]... Generate nodes for MESH[43x47]... Generate nodes for MESH[43x49]... Generate nodes for MESH[44x15]... Generate nodes for MESH[44x17]... Generate nodes for MESH[44x19]... Generate nodes for MESH[44x21]... Generate nodes for MESH[44x23]... Generate nodes for MESH[44x25]... Generate nodes for MESH[44x27]... Generate nodes for MESH[44x29]... Generate nodes for MESH[44x31]... Generate nodes for MESH[44x33]... Generate nodes for MESH[44x35]... Generate nodes for MESH[44x37]... Generate nodes for MESH[44x39]... Generate nodes for MESH[44x41]... Generate nodes for MESH[44x43]... Generate nodes for MESH[44x45]... Generate nodes for MESH[44x47]... Generate nodes for MESH[44x49]... Generate nodes for MESH[45x15]... Generate nodes for MESH[45x17]... Generate nodes for MESH[45x19]... Generate nodes for MESH[45x21]... Generate nodes for MESH[45x23]... Generate nodes for MESH[45x25]... Generate nodes for MESH[45x27]... Generate nodes for MESH[45x29]... Generate nodes for MESH[45x31]... Generate nodes for MESH[45x33]... Generate nodes for MESH[45x35]... Generate nodes for MESH[45x37]... Generate nodes for MESH[45x39]... Generate nodes for MESH[45x41]... Generate nodes for MESH[45x43]... Generate nodes for MESH[45x45]... Generate nodes for MESH[45x47]... Generate nodes for MESH[45x49]... Generate nodes for MESH[46x15]... Generate nodes for MESH[46x17]... Generate nodes for MESH[46x19]... Generate nodes for MESH[46x21]... Generate nodes for MESH[46x23]... Generate nodes for MESH[46x25]... Generate nodes for MESH[46x27]... Generate nodes for MESH[46x29]... Generate nodes for MESH[46x31]... Generate nodes for MESH[46x33]... Generate nodes for MESH[46x35]... Generate nodes for MESH[46x37]... Generate nodes for MESH[46x39]... Generate nodes for MESH[46x41]... Generate nodes for MESH[46x43]... Generate nodes for MESH[46x45]... Generate nodes for MESH[46x47]... Generate nodes for MESH[46x49]... Generate nodes for MESH[47x15]... Generate nodes for MESH[47x17]... Generate nodes for MESH[47x19]... Generate nodes for MESH[47x21]... Generate nodes for MESH[47x23]... Generate nodes for MESH[47x25]... Generate nodes for MESH[47x27]... Generate nodes for MESH[47x29]... Generate nodes for MESH[47x31]... Generate nodes for MESH[47x33]... Generate nodes for MESH[47x35]... Generate nodes for MESH[47x37]... Generate nodes for MESH[47x39]... Generate nodes for MESH[47x41]... Generate nodes for MESH[47x43]... Generate nodes for MESH[47x45]... Generate nodes for MESH[47x47]... Generate nodes for MESH[47x49]... Generate nodes for MESH[48x15]... Generate nodes for MESH[48x17]... Generate nodes for MESH[48x19]... Generate nodes for MESH[48x21]... Generate nodes for MESH[48x23]... Generate nodes for MESH[48x25]... Generate nodes for MESH[48x27]... Generate nodes for MESH[48x29]... Generate nodes for MESH[48x31]... Generate nodes for MESH[48x33]... Generate nodes for MESH[48x35]... Generate nodes for MESH[48x37]... Generate nodes for MESH[48x39]... Generate nodes for MESH[48x41]... Generate nodes for MESH[48x43]... Generate nodes for MESH[48x45]... Generate nodes for MESH[48x47]... Generate nodes for MESH[48x49]... Generate nodes for MESH[49x15]... Generate nodes for MESH[49x17]... Generate nodes for MESH[49x19]... Generate nodes for MESH[49x21]... Generate nodes for MESH[49x23]... Generate nodes for MESH[49x25]... Generate nodes for MESH[49x27]... Generate nodes for MESH[49x29]... Generate nodes for MESH[49x31]... Generate nodes for MESH[49x33]... Generate nodes for MESH[49x35]... Generate nodes for MESH[49x37]... Generate nodes for MESH[49x39]... Generate nodes for MESH[49x41]... Generate nodes for MESH[49x43]... Generate nodes for MESH[49x45]... Generate nodes for MESH[49x47]... Generate nodes for MESH[49x49]... Generate nodes for MESH[4x11]... Generate nodes for MESH[4x13]... Generate nodes for MESH[4x15]... Generate nodes for MESH[4x17]... Generate nodes for MESH[4x19]... Generate nodes for MESH[4x1]... Generate nodes for MESH[4x21]... Generate nodes for MESH[4x23]... Generate nodes for MESH[4x25]... Generate nodes for MESH[4x27]... Generate nodes for MESH[4x29]... Generate nodes for MESH[4x31]... Generate nodes for MESH[4x33]... Generate nodes for MESH[4x35]... Generate nodes for MESH[4x37]... Generate nodes for MESH[4x39]... Generate nodes for MESH[4x3]... Generate nodes for MESH[4x41]... Generate nodes for MESH[4x43]... Generate nodes for MESH[4x45]... Generate nodes for MESH[4x47]... Generate nodes for MESH[4x49]... Generate nodes for MESH[4x5]... Generate nodes for MESH[4x7]... Generate nodes for MESH[4x9]... Generate nodes for MESH[50x15]... Generate nodes for MESH[50x17]... Generate nodes for MESH[50x19]... Generate nodes for MESH[50x21]... Generate nodes for MESH[50x23]... Generate nodes for MESH[50x25]... Generate nodes for MESH[50x27]... Generate nodes for MESH[50x29]... Generate nodes for MESH[50x31]... Generate nodes for MESH[50x33]... Generate nodes for MESH[50x35]... Generate nodes for MESH[50x37]... Generate nodes for MESH[50x39]... Generate nodes for MESH[50x41]... Generate nodes for MESH[50x43]... Generate nodes for MESH[50x45]... Generate nodes for MESH[50x47]... Generate nodes for MESH[50x49]... Generate nodes for MESH[51x15]... Generate nodes for MESH[51x17]... Generate nodes for MESH[51x19]... Generate nodes for MESH[51x21]... Generate nodes for MESH[51x23]... Generate nodes for MESH[51x25]... Generate nodes for MESH[51x27]... Generate nodes for MESH[51x29]... Generate nodes for MESH[51x31]... Generate nodes for MESH[51x33]... Generate nodes for MESH[51x35]... Generate nodes for MESH[51x37]... Generate nodes for MESH[51x39]... Generate nodes for MESH[51x41]... Generate nodes for MESH[51x43]... Generate nodes for MESH[51x45]... Generate nodes for MESH[51x47]... Generate nodes for MESH[51x49]... Generate nodes for MESH[52x15]... Generate nodes for MESH[52x17]... Generate nodes for MESH[52x19]... Generate nodes for MESH[52x21]... Generate nodes for MESH[52x23]... Generate nodes for MESH[52x25]... Generate nodes for MESH[52x27]... Generate nodes for MESH[52x29]... Generate nodes for MESH[52x31]... Generate nodes for MESH[52x33]... Generate nodes for MESH[52x35]... Generate nodes for MESH[52x37]... Generate nodes for MESH[52x39]... Generate nodes for MESH[52x41]... Generate nodes for MESH[52x43]... Generate nodes for MESH[52x45]... Generate nodes for MESH[52x47]... Generate nodes for MESH[52x49]... Generate nodes for MESH[53x15]... Generate nodes for MESH[53x17]... Generate nodes for MESH[53x19]... Generate nodes for MESH[53x21]... Generate nodes for MESH[53x23]... Generate nodes for MESH[53x25]... Generate nodes for MESH[53x27]... Generate nodes for MESH[53x29]... Generate nodes for MESH[53x31]... Generate nodes for MESH[53x33]... Generate nodes for MESH[53x35]... Generate nodes for MESH[53x37]... Generate nodes for MESH[53x39]... Generate nodes for MESH[53x41]... Generate nodes for MESH[53x43]... Generate nodes for MESH[53x45]... Generate nodes for MESH[53x47]... Generate nodes for MESH[53x49]... Generate nodes for MESH[54x15]... Generate nodes for MESH[54x17]... Generate nodes for MESH[54x19]... Generate nodes for MESH[54x21]... Generate nodes for MESH[54x23]... Generate nodes for MESH[54x25]... Generate nodes for MESH[54x27]... Generate nodes for MESH[54x29]... Generate nodes for MESH[54x31]... Generate nodes for MESH[54x33]... Generate nodes for MESH[54x35]... Generate nodes for MESH[54x37]... Generate nodes for MESH[54x39]...cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/ice40 && /gnu/store/d5c8i3b549r412lkhddggyfx9mqdphs7-cmake-minimal-3.31.10/bin/cmake -E rename /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/ice40/chipdb-u4k.bba.new /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/ice40/chipdb-u4k.bba cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/ice40 && /gnu/store/d5c8i3b549r412lkhddggyfx9mqdphs7-cmake-minimal-3.31.10/bin/cmake -E copy /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/ice40/chipdb-u4k.bba /gnu/store/davzxg5m27gz2djfkghkz3pb7ys8gvgi-nextpnr-ice40-0.9-0.ad76625-bba/share/nextpnr/bba-files/ice40/chipdb-u4k.bba [ 46%] Generating chipdb-u4k.bin.cc cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/ice40 && ../bba/bbasm --le --c /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/ice40/chipdb-u4k.bba /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/ice40/chipdb-u4k.bin.cc Generate nodes for MESH[54x41]... Generate nodes for MESH[54x43]... Generate nodes for MESH[54x45]... Generate nodes for MESH[54x47]... Generate nodes for MESH[54x49]... Generate nodes for MESH[55x15]... Generate nodes for MESH[55x17]... Generate nodes for MESH[55x19]... Generate nodes for MESH[55x21]... Generate nodes for MESH[55x23]... Generate nodes for MESH[55x25]... Generate nodes for MESH[55x27]... Generate nodes for MESH[55x29]... Generate nodes for MESH[55x31]... Generate nodes for MESH[55x33]... Generate nodes for MESH[55x35]... Generate nodes for MESH[55x37]... Generate nodes for MESH[55x39]... Generate nodes for MESH[55x41]... Generate nodes for MESH[55x43]... Generate nodes for MESH[55x45]... Generate nodes for MESH[55x47]... Generate nodes for MESH[55x49]... Generate nodes for MESH[56x15]... Generate nodes for MESH[56x17]... Generate nodes for MESH[56x19]... Generate nodes for MESH[56x21]... Generate nodes for MESH[56x23]... Generate nodes for MESH[56x25]... Generate nodes for MESH[56x27]... Generate nodes for MESH[56x29]... Generate nodes for MESH[56x31]... Generate nodes for MESH[56x33]... Generate nodes for MESH[56x35]... Generate nodes for MESH[56x37]... Generate nodes for MESH[56x39]... Generate nodes for MESH[56x41]... Generate nodes for MESH[56x43]... Generate nodes for MESH[56x45]... Generate nodes for MESH[56x47]... Generate nodes for MESH[56x49]... Generate nodes for MESH[57x15]... Generate nodes for MESH[57x17]... Generate nodes for MESH[57x19]... Generate nodes for MESH[57x21]... Generate nodes for MESH[57x23]... Generate nodes for MESH[57x25]... Generate nodes for MESH[57x27]... Generate nodes for MESH[57x29]... Generate nodes for MESH[57x31]... Generate nodes for MESH[57x33]... Generate nodes for MESH[57x35]... Generate nodes for MESH[57x37]... Generate nodes for MESH[57x39]... Generate nodes for MESH[57x41]... Generate nodes for MESH[57x43]... Generate nodes for MESH[57x45]... Generate nodes for MESH[57x47]... Generate nodes for MESH[57x49]... Generate nodes for MESH[58x15]... Generate nodes for MESH[58x17]... Generate nodes for MESH[58x19]... Generate nodes for MESH[58x21]... Generate nodes for MESH[58x23]... Generate nodes for MESH[58x25]... Generate nodes for MESH[58x27]... Generate nodes for MESH[58x29]... Generate nodes for MESH[58x31]... Generate nodes for MESH[58x33]... Generate nodes for MESH[58x35]... Generate nodes for MESH[58x37]... Generate nodes for MESH[58x39]... Generate nodes for MESH[58x41]... Generate nodes for MESH[58x43]... Generate nodes for MESH[58x45]... Generate nodes for MESH[58x47]... Generate nodes for MESH[58x49]... Generate nodes for MESH[59x15]... Generate nodes for MESH[59x17]... Generate nodes for MESH[59x19]... Generate nodes for MESH[59x21]... Generate nodes for MESH[59x23]... Generate nodes for MESH[59x25]... Generate nodes for MESH[59x27]... Generate nodes for MESH[59x29]... Generate nodes for MESH[59x31]... Generate nodes for MESH[59x33]... Generate nodes for MESH[59x35]... Generate nodes for MESH[59x37]... Generate nodes for MESH[59x39]... Generate nodes for MESH[59x41]... Generate nodes for MESH[59x43]... Generate nodes for MESH[59x45]... Generate nodes for MESH[59x47]... Generate nodes for MESH[59x49]... Generate nodes for MESH[5x11]... Generate nodes for MESH[5x13]... Generate nodes for MESH[5x15]... Generate nodes for MESH[5x17]... Generate nodes for MESH[5x19]... Generate nodes for MESH[5x1]... Generate nodes for MESH[5x21]... Generate nodes for MESH[5x23]... Generate nodes for MESH[5x25]... Generate nodes for MESH[5x27]... Generate nodes for MESH[5x29]... Generate nodes for MESH[5x31]... Generate nodes for MESH[5x33]... Generate nodes for MESH[5x35]... Generate nodes for MESH[5x37]... Generate nodes for MESH[5x39]... Generate nodes for MESH[5x3]... Generate nodes for MESH[5x41]... Generate nodes for MESH[5x43]... Generate nodes for MESH[5x45]... Generate nodes for MESH[5x47]... Generate nodes for MESH[5x49]... Generate nodes for MESH[5x5]... Generate nodes for MESH[5x7]... Generate nodes for MESH[5x9]... Generate nodes for MESH[60x15]... Generate nodes for MESH[60x17]... Generate nodes for MESH[60x19]... Generate nodes for MESH[60x21]... Generate nodes for MESH[60x23]... Generate nodes for MESH[60x25]... Generate nodes for MESH[60x27]... Generate nodes for MESH[60x29]... Generate nodes for MESH[60x31]... Generate nodes for MESH[60x33]... Generate nodes for MESH[60x35]... Generate nodes for MESH[60x37]... Generate nodes for MESH[60x39]... Generate nodes for MESH[60x41]... Generate nodes for MESH[60x43]... Generate nodes for MESH[60x45]... Generate nodes for MESH[60x47]... Generate nodes for MESH[60x49]... Generate nodes for MESH[61x11]... Generate nodes for MESH[61x13]... Generate nodes for MESH[61x15]... Generate nodes for MESH[61x17]... Generate nodes for MESH[61x19]... Generate nodes for MESH[61x1]... Generate nodes for MESH[61x21]... Generate nodes for MESH[61x23]... Generate nodes for MESH[61x25]... Generate nodes for MESH[61x27]... Generate nodes for MESH[61x29]... Generate nodes for MESH[61x31]... Generate nodes for MESH[61x33]... Generate nodes for MESH[61x35]... Generate nodes for MESH[61x37]... Generate nodes for MESH[61x39]... Generate nodes for MESH[61x3]... Generate nodes for MESH[61x41]... Generate nodes for MESH[61x43]... Generate nodes for MESH[61x45]... Generate nodes for MESH[61x47]... Generate nodes for MESH[61x49]... Generate nodes for MESH[61x5]... Generate nodes for MESH[61x7]... Generate nodes for MESH[61x9]... Generate nodes for MESH[62x11]... Generate nodes for MESH[62x13]... Generate nodes for MESH[62x15]... Generate nodes for MESH[62x17]... Generate nodes for MESH[62x19]... Generate nodes for MESH[62x1]... Generate nodes for MESH[62x21]... Generate nodes for MESH[62x23]... Generate nodes for MESH[62x25]... Generate nodes for MESH[62x27]... Generate nodes for MESH[62x29]... Generate nodes for MESH[62x31]... Generate nodes for MESH[62x33]... Generate nodes for MESH[62x35]... Generate nodes for MESH[62x37]... Generate nodes for MESH[62x39]... Generate nodes for MESH[62x3]... Generate nodes for MESH[62x41]... Generate nodes for MESH[62x43]... Generate nodes for MESH[62x45]... Generate nodes for MESH[62x47]... Generate nodes for MESH[62x49]... Generate nodes for MESH[62x5]... Generate nodes for MESH[62x7]... Generate nodes for MESH[62x9]... Generate nodes for MESH[63x11]... Generate nodes for MESH[63x13]... Generate nodes for MESH[63x15]... Generate nodes for MESH[63x17]... Generate nodes for MESH[63x19]... Generate nodes for MESH[63x1]... Generate nodes for MESH[63x21]... Generate nodes for MESH[63x23]... Generate nodes for MESH[63x25]... Generate nodes for MESH[63x27]... Generate nodes for MESH[63x29]... Generate nodes for MESH[63x31]... Generate nodes for MESH[63x33]... Generate nodes for MESH[63x35]... Generate nodes for MESH[63x37]... Generate nodes for MESH[63x39]... Generate nodes for MESH[63x3]... Generate nodes for MESH[63x41]... Generate nodes for MESH[63x43]... Generate nodes for MESH[63x45]... Generate nodes for MESH[63x47]... Generate nodes for MESH[63x49]... Generate nodes for MESH[63x5]... Generate nodes for MESH[63x7]... Generate nodes for MESH[63x9]... Generate nodes for MESH[64x11]... Generate nodes for MESH[64x13]... Generate nodes for MESH[64x15]... Generate nodes for MESH[64x17]... Generate nodes for MESH[64x19]... Generate nodes for MESH[64x1]... Generate nodes for MESH[64x21]... Generate nodes for MESH[64x23]... Generate nodes for MESH[64x25]... Generate nodes for MESH[64x27]... Generate nodes for MESH[64x29]... Generate nodes for MESH[64x31]... Generate nodes for MESH[64x33]... Generate nodes for MESH[64x35]... Generate nodes for MESH[64x37]... Generate nodes for MESH[64x39]... Generate nodes for MESH[64x3]... Generate nodes for MESH[64x41]... Generate nodes for MESH[64x43]... Generate nodes for MESH[64x45]... Generate nodes for MESH[64x47]... Generate nodes for MESH[64x49]... Generate nodes for MESH[64x5]... Generate nodes for MESH[64x7]... Generate nodes for MESH[64x9]... Generate nodes for MESH[65x11]... Generate nodes for MESH[65x13]... Generate nodes for MESH[65x15]... Generate nodes for MESH[65x17]... Generate nodes for MESH[65x19]... Generate nodes for MESH[65x1]... Generate nodes for MESH[65x21]... Generate nodes for MESH[65x23]... Generate nodes for MESH[65x25]... Generate nodes for MESH[65x27]... Generate nodes for MESH[65x29]... Generate nodes for MESH[65x31]... Generate nodes for MESH[65x33]... Generate nodes for MESH[65x35]... Generate nodes for MESH[65x37]... Generate nodes for MESH[65x39]... Generate nodes for MESH[65x3]... Generate nodes for MESH[65x41]... Generate nodes for MESH[65x43]... Generate nodes for MESH[65x45]... Generate nodes for MESH[65x47]... Generate nodes for MESH[65x49]... Generate nodes for MESH[65x5]... Generate nodes for MESH[65x7]... Generate nodes for MESH[65x9]... Generate nodes for MESH[66x11]... Generate nodes for MESH[66x13]... Generate nodes for MESH[66x15]... Generate nodes for MESH[66x17]... Generate nodes for MESH[66x19]... Generate nodes for MESH[66x1]... Generate nodes for MESH[66x21]... Generate nodes for MESH[66x23]... Generate nodes for MESH[66x25]... Generate nodes for MESH[66x27]... Generate nodes for MESH[66x29]... Generate nodes for MESH[66x31]... Generate nodes for MESH[66x33]... Generate nodes for MESH[66x35]... Generate nodes for MESH[66x37]... Generate nodes for MESH[66x39]... Generate nodes for MESH[66x3]... Generate nodes for MESH[66x41]... Generate nodes for MESH[66x43]... Generate nodes for MESH[66x45]... Generate nodes for MESH[66x47]... Generate nodes for MESH[66x49]... Generate nodes for MESH[66x5]... Generate nodes for MESH[66x7]... Generate nodes for MESH[66x9]... Generate nodes for MESH[67x11]... Generate nodes for MESH[67x13]... Generate nodes for MESH[67x15]... Generate nodes for MESH[67x17]... Generate nodes for MESH[67x19]... Generate nodes for MESH[67x1]... Generate nodes for MESH[67x21]... Generate nodes for MESH[67x23]... Generate nodes for MESH[67x25]... Generate nodes for MESH[67x27]... Generate nodes for MESH[67x29]... Generate nodes for MESH[67x31]... Generate nodes for MESH[67x33]... Generate nodes for MESH[67x35]... Generate nodes for MESH[67x37]... Generate nodes for MESH[67x39]... Generate nodes for MESH[67x3]... Generate nodes for MESH[67x41]... Generate nodes for MESH[67x43]... Generate nodes for MESH[67x45]... Generate nodes for MESH[67x47]... Generate nodes for MESH[67x49]... Generate nodes for MESH[67x5]... Generate nodes for MESH[67x7]... Generate nodes for MESH[67x9]... Generate nodes for MESH[68x11]... Generate nodes for MESH[68x13]... Generate nodes for MESH[68x15]... Generate nodes for MESH[68x17]... Generate nodes for MESH[68x19]... Generate nodes for MESH[68x1]... Generate nodes for MESH[68x21]... Generate nodes for MESH[68x23]... Generate nodes for MESH[68x25]... Generate nodes for MESH[68x27]... Generate nodes for MESH[68x29]... Generate nodes for MESH[68x31]... Generate nodes for MESH[68x33]... Generate nodes for MESH[68x35]... Generate nodes for MESH[68x37]... Generate nodes for MESH[68x39]... Generate nodes for MESH[68x3]... Generate nodes for MESH[68x41]... Generate nodes for MESH[68x43]... Generate nodes for MESH[68x45]... Generate nodes for MESH[68x47]... Generate nodes for MESH[68x49]... Generate nodes for MESH[68x5]... Generate nodes for MESH[68x7]... Generate nodes for MESH[68x9]... Generate nodes for MESH[69x11]... Generate nodes for MESH[69x13]... Generate nodes for MESH[69x15]... Generate nodes for MESH[69x17]... Generate nodes for MESH[69x19]... Generate nodes for MESH[69x1]... Generate nodes for MESH[69x21]... Generate nodes for MESH[69x23]... Generate nodes for MESH[69x25]... Generate nodes for MESH[69x27]... Generate nodes for MESH[69x29]... Generate nodes for MESH[69x31]... Generate nodes for MESH[69x33]... Generate nodes for MESH[69x35]... Generate nodes for MESH[69x37]... Generate nodes for MESH[69x39]... Generate nodes for MESH[69x3]... Generate nodes for MESH[69x41]... Generate nodes for MESH[69x43]... Generate nodes for MESH[69x45]... Generate nodes for MESH[69x47]... Generate nodes for MESH[69x49]... Generate nodes for MESH[69x5]... Generate nodes for MESH[69x7]... Generate nodes for MESH[69x9]... Generate nodes for MESH[6x11]... Generate nodes for MESH[6x13]... Generate nodes for MESH[6x15]... Generate nodes for MESH[6x17]... Generate nodes for MESH[6x19]... Generate nodes for MESH[6x1]... Generate nodes for MESH[6x21]... Generate nodes for MESH[6x23]... Generate nodes for MESH[6x25]... Generate nodes for MESH[6x27]... Generate nodes for MESH[6x29]... Generate nodes for MESH[6x31]... Generate nodes for MESH[6x33]... Generate nodes for MESH[6x35]... Generate nodes for MESH[6x37]... Generate nodes for MESH[6x39]... Generate nodes for MESH[6x3]... Generate nodes for MESH[6x41]... Generate nodes for MESH[6x43]... Generate nodes for MESH[6x45]... Generate nodes for MESH[6x47]... Generate nodes for MESH[6x49]... Generate nodes for MESH[6x5]... Generate nodes for MESH[6x7]... Generate nodes for MESH[6x9]... Generate nodes for MESH[70x11]... Generate nodes for MESH[70x13]... Generate nodes for MESH[70x15]... Generate nodes for MESH[70x17]... Generate nodes for MESH[70x19]... Generate nodes for MESH[70x1]... Generate nodes for MESH[70x21]... Generate nodes for MESH[70x23]... Generate nodes for MESH[70x25]... Generate nodes for MESH[70x27]... Generate nodes for MESH[70x29]... Generate nodes for MESH[70x31]... Generate nodes for MESH[70x33]... Generate nodes for MESH[70x35]... Generate nodes for MESH[70x37]... Generate nodes for MESH[70x39]... Generate nodes for MESH[70x3]... Generate nodes for MESH[70x41]... Generate nodes for MESH[70x43]... Generate nodes for MESH[70x45]... Generate nodes for MESH[70x47]... Generate nodes for MESH[70x49]... Generate nodes for MESH[70x5]... Generate nodes for MESH[70x7]... Generate nodes for MESH[70x9]... Generate nodes for MESH[71x11]... Generate nodes for MESH[71x13]... Generate nodes for MESH[71x15]... Generate nodes for MESH[71x17]... Generate nodes for MESH[71x19]... Generate nodes for MESH[71x1]... Generate nodes for MESH[71x21]... Generate nodes for MESH[71x23]... Generate nodes for MESH[71x25]... Generate nodes for MESH[71x27]... Generate nodes for MESH[71x29]... Generate nodes for MESH[71x31]... Generate nodes for MESH[71x33]... Generate nodes for MESH[71x35]... Generate nodes for MESH[71x37]... Generate nodes for MESH[71x39]... Generate nodes for MESH[71x3]... Generate nodes for MESH[71x41]... Generate nodes for MESH[71x43]... Generate nodes for MESH[71x45]... Generate nodes for MESH[71x47]... Generate nodes for MESH[71x49]... Generate nodes for MESH[71x5]... Generate nodes for MESH[71x7]... Generate nodes for MESH[71x9]... Generate nodes for MESH[72x11]... Generate nodes for MESH[72x13]... Generate nodes for MESH[72x15]... Generate nodes for MESH[72x17]... Generate nodes for MESH[72x19]... Generate nodes for MESH[72x1]... Generate nodes for MESH[72x21]... Generate nodes for MESH[72x23]... Generate nodes for MESH[72x25]... Generate nodes for MESH[72x27]... Generate nodes for MESH[72x29]... Generate nodes for MESH[72x31]... Generate nodes for MESH[72x33]... Generate nodes for MESH[72x35]... Generate nodes for MESH[72x37]... Generate nodes for MESH[72x39]... Generate nodes for MESH[72x3]... Generate nodes for MESH[72x41]... Generate nodes for MESH[72x43]... Generate nodes for MESH[72x45]... Generate nodes for MESH[72x47]... Generate nodes for MESH[72x49]... Generate nodes for MESH[72x5]... Generate nodes for MESH[72x7]... Generate nodes for MESH[72x9]... Generate nodes for MESH[73x11]... Generate nodes for MESH[73x13]... Generate nodes for MESH[73x15]... Generate nodes for MESH[73x17]... Generate nodes for MESH[73x19]... Generate nodes for MESH[73x1]... Generate nodes for MESH[73x21]... Generate nodes for MESH[73x23]... Generate nodes for MESH[73x25]... Generate nodes for MESH[73x27]... Generate nodes for MESH[73x29]... Generate nodes for MESH[73x31]... Generate nodes for MESH[73x33]... Generate nodes for MESH[73x35]... Generate nodes for MESH[73x37]... Generate nodes for MESH[73x39]... Generate nodes for MESH[73x3]... Generate nodes for MESH[73x41]... Generate nodes for MESH[73x43]... Generate nodes for MESH[73x45]... Generate nodes for MESH[73x47]... Generate nodes for MESH[73x49]... Generate nodes for MESH[73x5]... Generate nodes for MESH[73x7]...[ 46%] Linking CXX executable ../nextpnr-generic cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/generic && /gnu/store/d5c8i3b549r412lkhddggyfx9mqdphs7-cmake-minimal-3.31.10/bin/cmake -E cmake_link_script CMakeFiles/nextpnr-generic.dir/link.txt --verbose=1 /gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/bin/c++ -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -Wl,--export-dynamic -rdynamic -Wl,--dependency-file=CMakeFiles/nextpnr-generic.dir/link.d "CMakeFiles/nextpnr-generic.dir/main.cc.o" "CMakeFiles/nextpnr-generic.dir/arch.cc.o" "CMakeFiles/nextpnr-generic.dir/arch_pybindings.cc.o" "CMakeFiles/nextpnr-generic.dir/cells.cc.o" "CMakeFiles/nextpnr-generic.dir/pack.cc.o" "CMakeFiles/nextpnr-generic.dir/viaduct_api.cc.o" "CMakeFiles/nextpnr-generic.dir/viaduct_helpers.cc.o" "CMakeFiles/nextpnr-generic.dir/viaduct/example/example.cc.o" "CMakeFiles/nextpnr-generic.dir/viaduct/fabulous/fabulous.cc.o" "CMakeFiles/nextpnr-generic.dir/viaduct/fabulous/fasm.cc.o" "CMakeFiles/nextpnr-generic.dir/viaduct/fabulous/pack.cc.o" "CMakeFiles/nextpnr-generic.dir/viaduct/fabulous/validity_check.cc.o" "CMakeFiles/nextpnr-generic.dir/__/common/kernel/archcheck.cc.o" "CMakeFiles/nextpnr-generic.dir/__/common/kernel/basectx.cc.o" "CMakeFiles/nextpnr-generic.dir/__/common/kernel/bits.cc.o" "CMakeFiles/nextpnr-generic.dir/__/common/kernel/command.cc.o" "CMakeFiles/nextpnr-generic.dir/__/common/kernel/context.cc.o" "CMakeFiles/nextpnr-generic.dir/__/common/kernel/design_utils.cc.o" "CMakeFiles/nextpnr-generic.dir/__/common/kernel/embed.cc.o" "CMakeFiles/nextpnr-generic.dir/__/common/kernel/handle_error.cc.o" "CMakeFiles/nextpnr-generic.dir/__/common/kernel/idstring.cc.o" "CMakeFiles/nextpnr-generic.dir/__/common/kernel/idstringlist.cc.o" "CMakeFiles/nextpnr-generic.dir/__/common/kernel/log.cc.o" "CMakeFiles/nextpnr-generic.dir/__/common/kernel/nextpnr_assertions.cc.o" "CMakeFiles/nextpnr-generic.dir/__/common/kernel/nextpnr.cc.o" "CMakeFiles/nextpnr-generic.dir/__/common/kernel/nextpnr_namespaces.cc.o" "CMakeFiles/nextpnr-generic.dir/__/common/kernel/nextpnr_types.cc.o" "CMakeFiles/nextpnr-generic.dir/__/common/kernel/property.cc.o" "CMakeFiles/nextpnr-generic.dir/__/common/kernel/pybindings.cc.o" "CMakeFiles/nextpnr-generic.dir/__/common/kernel/report.cc.o" "CMakeFiles/nextpnr-generic.dir/__/common/kernel/sdc.cc.o" "CMakeFiles/nextpnr-generic.dir/__/common/kernel/sdf.cc.o" "CMakeFiles/nextpnr-generic.dir/__/common/kernel/str_ring_buffer.cc.o" "CMakeFiles/nextpnr-generic.dir/__/common/kernel/svg.cc.o" "CMakeFiles/nextpnr-generic.dir/__/common/kernel/timing.cc.o" "CMakeFiles/nextpnr-generic.dir/__/common/kernel/timing_log.cc.o" "CMakeFiles/nextpnr-generic.dir/__/frontend/json_frontend.cc.o" "CMakeFiles/nextpnr-generic.dir/__/json/jsonwrite.cc.o" "CMakeFiles/nextpnr-generic.dir/__/common/place/detail_place_core.cc.o" "CMakeFiles/nextpnr-generic.dir/__/common/place/parallel_refine.cc.o" "CMakeFiles/nextpnr-generic.dir/__/common/place/place_common.cc.o" "CMakeFiles/nextpnr-generic.dir/__/common/place/placer1.cc.o" "CMakeFiles/nextpnr-generic.dir/__/common/place/placer_heap.cc.o" "CMakeFiles/nextpnr-generic.dir/__/common/place/placer_static.cc.o" "CMakeFiles/nextpnr-generic.dir/__/common/place/timing_opt.cc.o" "CMakeFiles/nextpnr-generic.dir/__/common/route/router1.cc.o" "CMakeFiles/nextpnr-generic.dir/__/common/route/router2.cc.o" "CMakeFiles/nextpnr-generic.dir/__/3rdparty/oourafft/fftsg.cc.o" "CMakeFiles/nextpnr-generic.dir/__/3rdparty/oourafft/fftsg2d.cc.o" "CMakeFiles/nextpnr-generic.dir/chipdb.cc.o" "gui/CMakeFiles/nextpnr-generic-gui.dir/nextpnr-generic-gui_autogen/mocs_compilation.cpp.o" "gui/CMakeFiles/nextpnr-generic-gui.dir/application.cc.o" "gui/CMakeFiles/nextpnr-generic-gui.dir/basewindow.cc.o" "gui/CMakeFiles/nextpnr-generic-gui.dir/designwidget.cc.o" "gui/CMakeFiles/nextpnr-generic-gui.dir/fpgaviewwidget.cc.o" "gui/CMakeFiles/nextpnr-generic-gui.dir/line_editor.cc.o" "gui/CMakeFiles/nextpnr-generic-gui.dir/lineshader.cc.o" "gui/CMakeFiles/nextpnr-generic-gui.dir/pyconsole.cc.o" "gui/CMakeFiles/nextpnr-generic-gui.dir/pythontab.cc.o" "gui/CMakeFiles/nextpnr-generic-gui.dir/treemodel.cc.o" "gui/CMakeFiles/nextpnr-generic-gui.dir/worker.cc.o" "gui/CMakeFiles/nextpnr-generic-gui.dir/generic/mainwindow.cc.o" "gui/CMakeFiles/nextpnr-generic-gui.dir/qrc_base.cpp.o" "gui/CMakeFiles/nextpn[ 46%] Linking CXX executable ../nextpnr-generic-test cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/generic && /gnu/store/d5c8i3b549r412lkhddggyfx9mqdphs7-cmake-minimal-3.31.10/bin/cmake -E cmake_link_script CMakeFiles/nextpnr-generic-test.dir/link.txt --verbose=1 /gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/bin/c++ -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -Wl,--export-dynamic -rdynamic -Wl,--dependency-file=CMakeFiles/nextpnr-generic-test.dir/link.d "CMakeFiles/nextpnr-generic-test.dir/arch.cc.o" "CMakeFiles/nextpnr-generic-test.dir/arch_pybindings.cc.o" "CMakeFiles/nextpnr-generic-test.dir/cells.cc.o" "CMakeFiles/nextpnr-generic-test.dir/pack.cc.o" "CMakeFiles/nextpnr-generic-test.dir/viaduct_api.cc.o" "CMakeFiles/nextpnr-generic-test.dir/viaduct_helpers.cc.o" "CMakeFiles/nextpnr-generic-test.dir/viaduct/example/example.cc.o" "CMakeFiles/nextpnr-generic-test.dir/viaduct/fabulous/fabulous.cc.o" "CMakeFiles/nextpnr-generic-test.dir/viaduct/fabulous/fasm.cc.o" "CMakeFiles/nextpnr-generic-test.dir/viaduct/fabulous/pack.cc.o" "CMakeFiles/nextpnr-generic-test.dir/viaduct/fabulous/validity_check.cc.o" "CMakeFiles/nextpnr-generic-test.dir/__/common/kernel/archcheck.cc.o" "CMakeFiles/nextpnr-generic-test.dir/__/common/kernel/basectx.cc.o" "CMakeFiles/nextpnr-generic-test.dir/__/common/kernel/bits.cc.o" "CMakeFiles/nextpnr-generic-test.dir/__/common/kernel/command.cc.o" "CMakeFiles/nextpnr-generic-test.dir/__/common/kernel/context.cc.o" "CMakeFiles/nextpnr-generic-test.dir/__/common/kernel/design_utils.cc.o" "CMakeFiles/nextpnr-generic-test.dir/__/common/kernel/embed.cc.o" "CMakeFiles/nextpnr-generic-test.dir/__/common/kernel/handle_error.cc.o" "CMakeFiles/nextpnr-generic-test.dir/__/common/kernel/idstring.cc.o" "CMakeFiles/nextpnr-generic-test.dir/__/common/kernel/idstringlist.cc.o" "CMakeFiles/nextpnr-generic-test.dir/__/common/kernel/log.cc.o" "CMakeFiles/nextpnr-generic-test.dir/__/common/kernel/nextpnr_assertions.cc.o" "CMakeFiles/nextpnr-generic-test.dir/__/common/kernel/nextpnr.cc.o" "CMakeFiles/nextpnr-generic-test.dir/__/common/kernel/nextpnr_namespaces.cc.o" "CMakeFiles/nextpnr-generic-test.dir/__/common/kernel/nextpnr_types.cc.o" "CMakeFiles/nextpnr-generic-test.dir/__/common/kernel/property.cc.o" "CMakeFiles/nextpnr-generic-test.dir/__/common/kernel/pybindings.cc.o" "CMakeFiles/nextpnr-generic-test.dir/__/common/kernel/report.cc.o" "CMakeFiles/nextpnr-generic-test.dir/__/common/kernel/sdc.cc.o" "CMakeFiles/nextpnr-generic-test.dir/__/common/kernel/sdf.cc.o" "CMakeFiles/nextpnr-generic-test.dir/__/common/kernel/str_ring_buffer.cc.o" "CMakeFiles/nextpnr-generic-test.dir/__/common/kernel/svg.cc.o" "CMakeFiles/nextpnr-generic-test.dir/__/common/kernel/timing.cc.o" "CMakeFiles/nextpnr-generic-test.dir/__/common/kernel/timing_log.cc.o" "CMakeFiles/nextpnr-generic-test.dir/__/frontend/json_frontend.cc.o" "CMakeFiles/nextpnr-generic-test.dir/__/json/jsonwrite.cc.o" "CMakeFiles/nextpnr-generic-test.dir/__/common/place/detail_place_core.cc.o" "CMakeFiles/nextpnr-generic-test.dir/__/common/place/parallel_refine.cc.o" "CMakeFiles/nextpnr-generic-test.dir/__/common/place/place_common.cc.o" "CMakeFiles/nextpnr-generic-test.dir/__/common/place/placer1.cc.o" "CMakeFiles/nextpnr-generic-test.dir/__/common/place/placer_heap.cc.o" "CMakeFiles/nextpnr-generic-test.dir/__/common/place/placer_static.cc.o" "CMakeFiles/nextpnr-generic-test.dir/__/common/place/timing_opt.cc.o" "CMakeFiles/nextpnr-generic-test.dir/__/common/route/router1.cc.o" "CMakeFiles/nextpnr-generic-test.dir/__/common/route/router2.cc.o" "CMakeFiles/nextpnr-generic-test.dir/__/3rdparty/oourafft/fftsg.cc.o" "CMakeFiles/nextpnr-generic-test.dir/__/3rdparty/oourafft/fftsg2d.cc.o" "CMakeFiles/nextpnr-generic-test.dir/chipdb.cc.o" "CMakeFiles/nextpnr-generic-test.dir/__/tests/gui/quadtree.cc.o" "gui/CMakeFiles/nextpnr-generic-gui.dir/nextpnr-generic-gui_autogen/mocs_compilation.cpp.o" "gui/CMakeFiles/nextpnr-generic-gui.dir/application.cc.o" "gui/CMakeFiles/nextpnr-generic-gui.dir/basewindow.cc.o" "gui/CMakeFiles/nextpnr-generic-gui.dir/designwidget.cc.o" "gui/CMakeFiles/nextpnr-generic-gui.dir/fpgaviewwidget.cc.o" "gui/CMakeFiles/nextpnr-generic-gui.dir/line_editor.cc.o" "gui/CMakeFiles/nextpnr-generic-gui.dir/lineshader.cc.o" "gui/CMakeFiles/nextpnr-generic-gui.dir/pyconsole.cc.o" "gui/CMakeFiles/nextpnr-generic-gui. Generate nodes for MESH[73x9]... Generate nodes for MESH[74x11]... Generate nodes for MESH[74x13]... Generate nodes for MESH[74x15]... Generate nodes for MESH[74x17]... Generate nodes for MESH[74x19]... Generate nodes for MESH[74x1]... Generate nodes for MESH[74x21]... Generate nodes for MESH[74x23]... Generate nodes for MESH[74x25]... Generate nodes for MESH[74x27]... Generate nodes for MESH[74x29]... Generate nodes for MESH[74x31]... Generate nodes for MESH[74x33]... Generate nodes for MESH[74x35]... Generate nodes for MESH[74x37]... Generate nodes for MESH[74x39]... Generate nodes for MESH[74x3]... Generate nodes for MESH[74x41]... Generate nodes for MESH[74x43]... Generate nodes for MESH[74x45]... Generate nodes for MESH[74x47]... Generate nodes for MESH[74x49]... Generate nodes for MESH[74x5]... Generate nodes for MESH[74x7]... Generate nodes for MESH[74x9]... Generate nodes for MESH[75x11]... Generate nodes for MESH[75x13]... Generate nodes for MESH[75x15]... Generate nodes for MESH[75x17]... Generate nodes for MESH[75x19]... Generate nodes for MESH[75x1]... Generate nodes for MESH[75x21]... Generate nodes for MESH[75x23]... Generate nodes for MESH[75x25]... Generate nodes for MESH[75x27]... Generate nodes for MESH[75x29]... Generate nodes for MESH[75x31]... Generate nodes for MESH[75x33]... Generate nodes for MESH[75x35]... Generate nodes for MESH[75x37]... Generate nodes for MESH[75x39]... Generate nodes for MESH[75x3]... Generate nodes for MESH[75x41]... Generate nodes for MESH[75x43]... Generate nodes for MESH[75x45]... Generate nodes for MESH[75x47]... Generate nodes for MESH[75x49]... Generate nodes for MESH[75x5]... Generate nodes for MESH[75x7]... Generate nodes for MESH[75x9]... Generate nodes for MESH[76x11]... Generate nodes for MESH[76x13]... Generate nodes for MESH[76x15]... Generate nodes for MESH[76x17]... Generate nodes for MESH[76x19]... Generate nodes for MESH[76x1]... Generate nodes for MESH[76x21]... Generate nodes for MESH[76x23]... Generate nodes for MESH[76x25]... Generate nodes for MESH[76x27]... Generate nodes for MESH[76x29]... Generate nodes for MESH[76x31]... Generate nodes for MESH[76x33]... Generate nodes for MESH[76x35]... Generate nodes for MESH[76x37]... Generate nodes for MESH[76x39]... Generate nodes for MESH[76x3]... Generate nodes for MESH[76x41]... Generate nodes for MESH[76x43]... Generate nodes for MESH[76x45]... Generate nodes for MESH[76x47]... Generate nodes for MESH[76x49]... Generate nodes for MESH[76x5]... Generate nodes for MESH[76x7]... Generate nodes for MESH[76x9]... Generate nodes for MESH[77x11]... Generate nodes for MESH[77x13]... Generate nodes for MESH[77x15]... Generate nodes for MESH[77x17]... Generate nodes for MESH[77x19]... Generate nodes for MESH[77x1]... Generate nodes for MESH[77x21]... Generate nodes for MESH[77x23]... Generate nodes for MESH[77x25]... Generate nodes for MESH[77x27]... Generate nodes for MESH[77x29]... Generate nodes for MESH[77x31]... Generate nodes for MESH[77x33]... Generate nodes for MESH[77x35]... Generate nodes for MESH[77x37]... Generate nodes for MESH[77x39]... Generate nodes for MESH[77x3]... Generate nodes for MESH[77x41]... Generate nodes for MESH[77x43]... Generate nodes for MESH[77x45]... Generate nodes for MESH[77x47]... Generate nodes for MESH[77x49]... Generate nodes for MESH[77x5]... Generate nodes for MESH[77x7]... Generate nodes for MESH[77x9]... Generate nodes for MESH[78x11]... Generate nodes for MESH[78x13]... Generate nodes for MESH[78x15]... Generate nodes for MESH[78x17]... Generate nodes for MESH[78x19]... Generate nodes for MESH[78x1]... Generate nodes for MESH[78x21]... Generate nodes for MESH[78x23]... Generate nodes for MESH[78x25]... Generate nodes for MESH[78x27]... Generate nodes for MESH[78x29]... Generate nodes for MESH[78x31]... Generate nodes for MESH[78x33]... Generate nodes for MESH[78x35]... Generate nodes for MESH[78x37]... Generate nodes for MESH[78x39]... Generate nodes for MESH[78x3]... Generate nodes for MESH[78x41]... Generate nodes for MESH[78x43]... Generate nodes for MESH[78x45]... Generate nodes for MESH[78x47]... Generate nodes for MESH[78x49]... Generate nodes for MESH[78x5]... Generate nodes for MESH[78x7]... Generate nodes for MESH[78x9]... Generate nodes for MESH[79x11]... Generate nodes for MESH[79x13]... Generate nodes for MESH[79x15]... Generate nodes for MESH[79x17]... Generate nodes for MESH[79x19]... Generate nodes for MESH[79x1]... Generate nodes for MESH[79x21]... Generate nodes for MESH[79x23]... Generate nodes for MESH[79x25]... Generate nodes for MESH[79x27]... Generate nodes for MESH[79x29]... Generate nodes for MESH[79x31]... Generate nodes for MESH[79x33]... Generate nodes for MESH[79x35]... Generate nodes for MESH[79x37]... Generate nodes for MESH[79x39]... Generate nodes for MESH[79x3]... Generate nodes for MESH[79x41]... Generate nodes for MESH[79x43]... Generate nodes for MESH[79x45]... Generate nodes for MESH[79x47]... Generate nodes for MESH[79x49]... Generate nodes for MESH[79x5]... Generate nodes for MESH[79x7]... Generate nodes for MESH[79x9]... Generate nodes for MESH[7x11]... Generate nodes for MESH[7x13]... Generate nodes for MESH[7x15]... Generate nodes for MESH[7x17]... Generate nodes for MESH[7x19]... Generate nodes for MESH[7x1]... Generate nodes for MESH[7x21]... Generate nodes for MESH[7x23]... Generate nodes for MESH[7x25]... Generate nodes for MESH[7x27]... Generate nodes for MESH[7x29]... Generate nodes for MESH[7x31]... Generate nodes for MESH[7x33]... Generate nodes for MESH[7x35]... Generate nodes for MESH[7x37]... Generate nodes for MESH[7x39]... Generate nodes for MESH[7x3]... Generate nodes for MESH[7x41]... Generate nodes for MESH[7x43]... Generate nodes for MESH[7x45]... Generate nodes for MESH[7x47]... Generate nodes for MESH[7x49]... Generate nodes for MESH[7x5]... Generate nodes for MESH[7x7]... Generate nodes for MESH[7x9]... Generate nodes for MESH[80x11]... Generate nodes for MESH[80x13]... Generate nodes for MESH[80x15]... Generate nodes for MESH[80x17]... Generate nodes for MESH[80x19]... Generate nodes for MESH[80x1]... Generate nodes for MESH[80x21]... Generate nodes for MESH[80x23]... Generate nodes for MESH[80x25]... Generate nodes for MESH[80x27]... Generate nodes for MESH[80x29]... Generate nodes for MESH[80x31]... Generate nodes for MESH[80x33]... Generate nodes for MESH[80x35]... Generate nodes for MESH[80x37]... Generate nodes for MESH[80x39]... Generate nodes for MESH[80x3]... Generate nodes for MESH[80x41]... Generate nodes for MESH[80x43]... Generate nodes for MESH[80x45]... Generate nodes for MESH[80x47]... Generate nodes for MESH[80x49]... Generate nodes for MESH[80x5]... Generate nodes for MESH[80x7]... Generate nodes for MESH[80x9]... Generate nodes for MESH[81x11]... Generate nodes for MESH[81x13]... Generate nodes for MESH[81x15]... Generate nodes for MESH[81x17]... Generate nodes for MESH[81x19]... Generate nodes for MESH[81x1]... Generate nodes for MESH[81x21]... Generate nodes for MESH[81x23]... Generate nodes for MESH[81x25]... Generate nodes for MESH[81x27]... Generate nodes for MESH[81x29]... Generate nodes for MESH[81x31]... Generate nodes for MESH[81x33]... Generate nodes for MESH[81x35]... Generate nodes for MESH[81x37]... Generate nodes for MESH[81x39]... Generate nodes for MESH[81x3]... Generate nodes for MESH[81x41]... Generate nodes for MESH[81x43]... Generate nodes for MESH[81x45]... Generate nodes for MESH[81x47]... Generate nodes for MESH[81x49]... Generate nodes for MESH[81x5]... Generate nodes for MESH[81x7]... Generate nodes for MESH[81x9]... Generate nodes for MESH[82x11]... Generate nodes for MESH[82x13]... Generate nodes for MESH[82x15]... Generate nodes for MESH[82x17]... Generate nodes for MESH[82x19]... Generate nodes for MESH[82x1]... Generate nodes for MESH[82x21]... Generate nodes for MESH[82x23]... Generate nodes for MESH[82x25]... Generate nodes for MESH[82x27]... Generate nodes for MESH[82x29]... Generate nodes for MESH[82x31]... Generate nodes for MESH[82x33]... Generate nodes for MESH[82x35]... Generate nodes for MESH[82x37]... Generate nodes for MESH[82x39]... Generate nodes for MESH[82x3]... Generate nodes for MESH[82x41]... Generate nodes for MESH[82x43]... Generate nodes for MESH[82x45]... Generate nodes for MESH[82x47]... Generate nodes for MESH[82x49]... Generate nodes for MESH[82x5]... Generate nodes for MESH[82x7]... Generate nodes for MESH[82x9]... Generate nodes for MESH[83x11]... Generate nodes for MESH[83x13]... Generate nodes for MESH[83x15]... Generate nodes for MESH[83x17]... Generate nodes for MESH[83x19]... Generate nodes for MESH[83x1]... Generate nodes for MESH[83x21]... Generate nodes for MESH[83x23]... Generate nodes for MESH[83x25]... Generate nodes for MESH[83x27]... Generate nodes for MESH[83x29]... Generate nodes for MESH[83x31]... Generate nodes for MESH[83x33]... Generate nodes for MESH[83x35]... Generate nodes for MESH[83x37]... Generate nodes for MESH[83x39]... Generate nodes for MESH[83x3]... Generate nodes for MESH[83x41]... Generate nodes for MESH[83x43]... Generate nodes for MESH[83x45]... Generate nodes for MESH[83x47]... Generate nodes for MESH[83x49]... Generate nodes for MESH[83x5]... Generate nodes for MESH[83x7]... Generate nodes for MESH[83x9]... Generate nodes for MESH[84x11]... Generate nodes for MESH[84x13]... Generate nodes for MESH[84x15]... Generate nodes for MESH[84x17]... Generate nodes for MESH[84x19]... Generate nodes for MESH[84x1]... Generate nodes for MESH[84x21]... Generate nodes for MESH[84x23]... Generate nodes for MESH[84x25]... Generate nodes for MESH[84x27]... Generate nodes for MESH[84x29]... Generate nodes for MESH[84x31]... Generate nodes for MESH[84x33]... Generate nodes for MESH[84x35]... Generate nodes for MESH[84x37]... Generate nodes for MESH[84x39]... Generate nodes for MESH[84x3]... Generate nodes for MESH[84x41]... Generate nodes for MESH[84x43]... Generate nodes for MESH[84x45]... Generate nodes for MESH[84x47]... Generate nodes for MESH[84x49]... Generate nodes for MESH[84x5]... Generate nodes for MESH[84x7]... Generate nodes for MESH[84x9]... Generate nodes for MESH[85x11]... Generate nodes for MESH[85x13]... Generate nodes for MESH[85x15]... Generate nodes for MESH[85x17]... Generate nodes for MESH[85x19]... Generate nodes for MESH[85x1]... Generate nodes for MESH[85x21]... Generate nodes for MESH[85x23]... Generate nodes for MESH[85x25]... Generate nodes for MESH[85x27]... Generate nodes for MESH[85x29]... Generate nodes for MESH[85x31]... Generate nodes for MESH[85x33]... Generate nodes for MESH[85x35]... Generate nodes for MESH[85x37]... Generate nodes for MESH[85x39]... Generate nodes for MESH[85x3]... Generate nodes for MESH[85x41]... Generate nodes for MESH[85x43]... Generate nodes for MESH[85x45]... Generate nodes for MESH[85x47]... Generate nodes for MESH[85x49]... Generate nodes for MESH[85x5]... Generate nodes for MESH[85x7]... Generate nodes for MESH[85x9]... Generate nodes for MESH[86x11]... Generate nodes for MESH[86x13]... Generate nodes for MESH[86x15]... Generate nodes for MESH[86x17]... Generate nodes for MESH[86x19]... Generate nodes for MESH[86x1]... Generate nodes for MESH[86x21]... Generate nodes for MESH[86x23]... Generate nodes for MESH[86x25]... Generate nodes for MESH[86x27]... Generate nodes for MESH[86x29]... Generate nodes for MESH[86x31]... Generate nodes for MESH[86x33]... Generate nodes for MESH[86x35]... Generate nodes for MESH[86x37]... Generate nodes for MESH[86x39]... Generate nodes for MESH[86x3]... Generate nodes for MESH[86x41]... Generate nodes for MESH[86x43]... Generate nodes for MESH[86x45]... Generate nodes for MESH[86x47]... Generate nodes for MESH[86x49]... Generate nodes for MESH[86x5]... Generate nodes for MESH[86x7]... Generate nodes for MESH[86x9]... Generate nodes for MESH[87x11]... Generate nodes for MESH[87x13]... Generate nodes for MESH[87x15]... Generate nodes for MESH[87x17]... Generate nodes for MESH[87x19]... Generate nodes for MESH[87x1]... Generate nodes for MESH[87x21]... Generate nodes for MESH[87x23]... Generate nodes for MESH[87x25]... Generate nodes for MESH[87x27]... Generate nodes for MESH[87x29]... Generate nodes for MESH[87x31]... Generate nodes for MESH[87x33]... Generate nodes for MESH[87x35]... Generate nodes for MESH[87x37]... Generate nodes for MESH[87x39]... Generate nodes for MESH[87x3]... Generate nodes for MESH[87x41]... Generate nodes for MESH[87x43]... Generate nodes for MESH[87x45]... Generate nodes for MESH[87x47]... Generate nodes for MESH[87x49]... Generate nodes for MESH[87x5]... Generate nodes for MESH[87x7]... Generate nodes for MESH[87x9]... Generate nodes for MESH[88x11]... Generate nodes for MESH[88x13]... Generate nodes for MESH[88x15]... Generate nodes for MESH[88x17]... Generate nodes for MESH[88x19]... Generate nodes for MESH[88x1]... Generate nodes for MESH[88x21]... Generate nodes for MESH[88x23]... Generate nodes for MESH[88x25]... Generate nodes for MESH[88x27]... Generate nodes for MESH[88x29]... Generate nodes for MESH[88x31]... Generate nodes for MESH[88x33]... Generate nodes for MESH[88x35]... Generate nodes for MESH[88x37]... Generate nodes for MESH[88x39]... Generate nodes for MESH[88x3]... Generate nodes for MESH[88x41]... Generate nodes for MESH[88x43]... Generate nodes for MESH[88x45]... Generate nodes for MESH[88x47]... Generate nodes for MESH[88x49]... Generate nodes for MESH[88x5]... Generate nodes for MESH[88x7]... Generate nodes for MESH[88x9]... Generate nodes for MESH[89x11]... Generate nodes for MESH[89x13]... Generate nodes for MESH[89x15]... Generate nodes for MESH[89x17]... Generate nodes for MESH[89x19]... Generate nodes for MESH[89x1]... Generate nodes for MESH[89x21]... Generate nodes for MESH[89x23]... Generate nodes for MESH[89x25]... Generate nodes for MESH[89x27]... Generate nodes for MESH[89x29]... Generate nodes for MESH[89x31]... Generate nodes for MESH[89x33]... Generate nodes for MESH[89x35]... Generate nodes for MESH[89x37]... Generate nodes for MESH[89x39]... Generate nodes for MESH[89x3]... Generate nodes for MESH[89x41]... Generate nodes for MESH[89x43]... Generate nodes for MESH[89x45]... Generate nodes for MESH[89x47]... Generate nodes for MESH[89x49]... Generate nodes for MESH[89x5]... Generate nodes for MESH[89x7]... Generate nodes for MESH[89x9]... Generate nodes for MESH[8x11]... Generate nodes for MESH[8x13]... Generate nodes for MESH[8x15]... Generate nodes for MESH[8x17]... Generate nodes for MESH[8x19]... Generate nodes for MESH[8x1]... Generate nodes for MESH[8x21]... Generate nodes for MESH[8x23]... Generate nodes for MESH[8x25]... Generate nodes for MESH[8x27]... Generate nodes for MESH[8x29]... Generate nodes for MESH[8x31]... Generate nodes for MESH[8x33]... Generate nodes for MESH[8x35]... Generate nodes for MESH[8x37]... Generate nodes for MESH[8x39]... Generate nodes for MESH[8x3]... Generate nodes for MESH[8x41]... Generate nodes for MESH[8x43]... Generate nodes for MESH[8x45]... Generate nodes for MESH[8x47]... Generate nodes for MESH[8x49]... Generate nodes for MESH[8x5]... Generate nodes for MESH[8x7]... Generate nodes for MESH[8x9]... Generate nodes for MESH[90x11]... Generate nodes for MESH[90x13]... Generate nodes for MESH[90x15]... Generate nodes for MESH[90x17]... Generate nodes for MESH[90x19]... Generate nodes for MESH[90x1]... Generate nodes for MESH[90x21]... Generate nodes for MESH[90x23]... Generate nodes for MESH[90x25]... Generate nodes for MESH[90x27]... Generate nodes for MESH[90x29]... Generate nodes for MESH[90x31]... Generate nodes for MESH[90x33]... Generate nodes for MESH[90x35]... Generate nodes for MESH[90x37]... Generate nodes for MESH[90x39]... Generate nodes for MESH[90x3]... Generate nodes for MESH[90x41]... Generate nodes for MESH[90x43]... Generate nodes for MESH[90x45]... Generate nodes for MESH[90x47]... Generate nodes for MESH[90x49]... Generate nodes for MESH[90x5]... Generate nodes for MESH[90x7]... Generate nodes for MESH[90x9]... Generate nodes for MESH[91x11]... Generate nodes for MESH[91x13]... Generate nodes for MESH[91x15]... Generate nodes for MESH[91x17]... Generate nodes for MESH[91x19]... Generate nodes for MESH[91x1]... Generate nodes for MESH[91x21]... Generate nodes for MESH[91x23]... Generate nodes for MESH[91x25]... Generate nodes for MESH[91x37]... Generate nodes for MESH[91x39]... Generate nodes for MESH[91x3]...[ 46%] Linking CXX executable ../../../nextpnr-himbaechel-gowin-test cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/himbaechel/uarch/gowin && /gnu/store/d5c8i3b549r412lkhddggyfx9mqdphs7-cmake-minimal-3.31.10/bin/cmake -E cmake_link_script CMakeFiles/nextpnr-himbaechel-gowin-test.dir/link.txt --verbose=1 /gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/bin/c++ -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -Wl,--export-dynamic -rdynamic -Wl,--dependency-file=CMakeFiles/nextpnr-himbaechel-gowin-test.dir/link.d "CMakeFiles/nextpnr-himbaechel-gowin-test.dir/__/__/arch.cc.o" "CMakeFiles/nextpnr-himbaechel-gowin-test.dir/__/__/arch_pybindings.cc.o" "CMakeFiles/nextpnr-himbaechel-gowin-test.dir/__/__/himbaechel_api.cc.o" "CMakeFiles/nextpnr-himbaechel-gowin-test.dir/__/__/himbaechel_helpers.cc.o" "CMakeFiles/nextpnr-himbaechel-gowin-test.dir/cst.cc.o" "CMakeFiles/nextpnr-himbaechel-gowin-test.dir/globals.cc.o" "CMakeFiles/nextpnr-himbaechel-gowin-test.dir/gowin.cc.o" "CMakeFiles/nextpnr-himbaechel-gowin-test.dir/gowin_utils.cc.o" "CMakeFiles/nextpnr-himbaechel-gowin-test.dir/pack.cc.o" "CMakeFiles/nextpnr-himbaechel-gowin-test.dir/__/__/__/common/kernel/archcheck.cc.o" "CMakeFiles/nextpnr-himbaechel-gowin-test.dir/__/__/__/common/kernel/basectx.cc.o" "CMakeFiles/nextpnr-himbaechel-gowin-test.dir/__/__/__/common/kernel/bits.cc.o" "CMakeFiles/nextpnr-himbaechel-gowin-test.dir/__/__/__/common/kernel/command.cc.o" "CMakeFiles/nextpnr-himbaechel-gowin-test.dir/__/__/__/common/kernel/context.cc.o" "CMakeFiles/nextpnr-himbaechel-gowin-test.dir/__/__/__/common/kernel/design_utils.cc.o" "CMakeFiles/nextpnr-himbaechel-gowin-test.dir/__/__/__/common/kernel/embed.cc.o" "CMakeFiles/nextpnr-himbaechel-gowin-test.dir/__/__/__/common/kernel/handle_error.cc.o" "CMakeFiles/nextpnr-himbaechel-gowin-test.dir/__/__/__/common/kernel/idstring.cc.o" "CMakeFiles/nextpnr-himbaechel-gowin-test.dir/__/__/__/common/kernel/idstringlist.cc.o" "CMakeFiles/nextpnr-himbaechel-gowin-test.dir/__/__/__/common/kernel/log.cc.o" "CMakeFiles/nextpnr-himbaechel-gowin-test.dir/__/__/__/common/kernel/nextpnr_assertions.cc.o" "CMakeFiles/nextpnr-himbaechel-gowin-test.dir/__/__/__/common/kernel/nextpnr.cc.o" "CMakeFiles/nextpnr-himbaechel-gowin-test.dir/__/__/__/common/kernel/nextpnr_namespaces.cc.o" "CMakeFiles/nextpnr-himbaechel-gowin-test.dir/__/__/__/common/kernel/nextpnr_types.cc.o" "CMakeFiles/nextpnr-himbaechel-gowin-test.dir/__/__/__/common/kernel/property.cc.o" "CMakeFiles/nextpnr-himbaechel-gowin-test.dir/__/__/__/common/kernel/pybindings.cc.o" "CMakeFiles/nextpnr-himbaechel-gowin-test.dir/__/__/__/common/kernel/report.cc.o" "CMakeFiles/nextpnr-himbaechel-gowin-test.dir/__/__/__/common/kernel/sdc.cc.o" "CMakeFiles/nextpnr-himbaechel-gowin-test.dir/__/__/__/common/kernel/sdf.cc.o" "CMakeFiles/nextpnr-himbaechel-gowin-test.dir/__/__/__/common/kernel/str_ring_buffer.cc.o" "CMakeFiles/nextpnr-himbaechel-gowin-test.dir/__/__/__/common/kernel/svg.cc.o" "CMakeFiles/nextpnr-himbaechel-gowin-test.dir/__/__/__/common/kernel/timing.cc.o" "CMakeFiles/nextpnr-himbaechel-gowin-test.dir/__/__/__/common/kernel/timing_log.cc.o" "CMakeFiles/nextpnr-himbaechel-gowin-test.dir/__/__/__/frontend/json_frontend.cc.o" "CMakeFiles/nextpnr-himbaechel-gowin-test.dir/__/__/__/json/jsonwrite.cc.o" "CMakeFiles/nextpnr-himbaechel-gowin-test.dir/__/__/__/common/place/detail_place_core.cc.o" "CMakeFiles/nextpnr-himbaechel-gowin-test.dir/__/__/__/common/place/parallel_refine.cc.o" "CMakeFiles/nextpnr-himbaechel-gowin-test.dir/__/__/__/common/place/place_common.cc.o" "CMakeFiles/nextpnr-himbaechel-gowin-test.dir/__/__/__/common/place/placer1.cc.o" "CMakeFiles/nextpnr-himbaechel-gowin-test.dir/__/__/__/common/place/placer_heap.cc.o" "CMakeFiles/nextpnr-himbaechel-gowin-test.dir/__/__/__/common/place/placer_static.cc.o" "CMakeFiles/nextpnr-himbaechel-gowin-test.dir/__/__/__/common/place/timing_opt.cc.o" "CMakeFiles/nextpnr-himbaechel-gowin-test.dir/__/__/__/common/route/router1.cc.o" "CMakeFiles/nextpnr-himbaechel-gowin-test.dir/__/__/__/common/route/router2.cc.o" "CMakeFiles/nextpnr-himbaechel-gowin-test.dir/__/__/__/3rdparty/oourafft/fftsg.cc.o" "CMakeFiles/nextpnr-himbaechel-gowin-test.dir/__/__/__/3rdparty/oourafft/fftsg2d.cc.o" "CMakeFiles/nextpnr-himbaechel-gowin-test.dir/__/__/__/tests/gui/quadtree.cc.o" "gui/CMakeFiles/nextpnr-himbaechel-gowin-gui.dir/nextpnr-himbaechel-gowin-gui_auto[ 47%] Linking CXX executable ../../../nextpnr-himbaechel-gowin cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/himbaechel/uarch/gowin && /gnu/store/d5c8i3b549r412lkhddggyfx9mqdphs7-cmake-minimal-3.31.10/bin/cmake -E cmake_link_script CMakeFiles/nextpnr-himbaechel-gowin.dir/link.txt --verbose=1 /gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/bin/c++ -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -Wl,--export-dynamic -rdynamic -Wl,--dependency-file=CMakeFiles/nextpnr-himbaechel-gowin.dir/link.d "CMakeFiles/nextpnr-himbaechel-gowin.dir/__/__/main.cc.o" "CMakeFiles/nextpnr-himbaechel-gowin.dir/__/__/arch.cc.o" "CMakeFiles/nextpnr-himbaechel-gowin.dir/__/__/arch_pybindings.cc.o" "CMakeFiles/nextpnr-himbaechel-gowin.dir/__/__/himbaechel_api.cc.o" "CMakeFiles/nextpnr-himbaechel-gowin.dir/__/__/himbaechel_helpers.cc.o" "CMakeFiles/nextpnr-himbaechel-gowin.dir/cst.cc.o" "CMakeFiles/nextpnr-himbaechel-gowin.dir/globals.cc.o" "CMakeFiles/nextpnr-himbaechel-gowin.dir/gowin.cc.o" "CMakeFiles/nextpnr-himbaechel-gowin.dir/gowin_utils.cc.o" "CMakeFiles/nextpnr-himbaechel-gowin.dir/pack.cc.o" "CMakeFiles/nextpnr-himbaechel-gowin.dir/__/__/__/common/kernel/archcheck.cc.o" "CMakeFiles/nextpnr-himbaechel-gowin.dir/__/__/__/common/kernel/basectx.cc.o" "CMakeFiles/nextpnr-himbaechel-gowin.dir/__/__/__/common/kernel/bits.cc.o" "CMakeFiles/nextpnr-himbaechel-gowin.dir/__/__/__/common/kernel/command.cc.o" "CMakeFiles/nextpnr-himbaechel-gowin.dir/__/__/__/common/kernel/context.cc.o" "CMakeFiles/nextpnr-himbaechel-gowin.dir/__/__/__/common/kernel/design_utils.cc.o" "CMakeFiles/nextpnr-himbaechel-gowin.dir/__/__/__/common/kernel/embed.cc.o" "CMakeFiles/nextpnr-himbaechel-gowin.dir/__/__/__/common/kernel/handle_error.cc.o" "CMakeFiles/nextpnr-himbaechel-gowin.dir/__/__/__/common/kernel/idstring.cc.o" "CMakeFiles/nextpnr-himbaechel-gowin.dir/__/__/__/common/kernel/idstringlist.cc.o" "CMakeFiles/nextpnr-himbaechel-gowin.dir/__/__/__/common/kernel/log.cc.o" "CMakeFiles/nextpnr-himbaechel-gowin.dir/__/__/__/common/kernel/nextpnr_assertions.cc.o" "CMakeFiles/nextpnr-himbaechel-gowin.dir/__/__/__/common/kernel/nextpnr.cc.o" "CMakeFiles/nextpnr-himbaechel-gowin.dir/__/__/__/common/kernel/nextpnr_namespaces.cc.o" "CMakeFiles/nextpnr-himbaechel-gowin.dir/__/__/__/common/kernel/nextpnr_types.cc.o" "CMakeFiles/nextpnr-himbaechel-gowin.dir/__/__/__/common/kernel/property.cc.o" "CMakeFiles/nextpnr-himbaechel-gowin.dir/__/__/__/common/kernel/pybindings.cc.o" "CMakeFiles/nextpnr-himbaechel-gowin.dir/__/__/__/common/kernel/report.cc.o" "CMakeFiles/nextpnr-himbaechel-gowin.dir/__/__/__/common/kernel/sdc.cc.o" "CMakeFiles/nextpnr-himbaechel-gowin.dir/__/__/__/common/kernel/sdf.cc.o" "CMakeFiles/nextpnr-himbaechel-gowin.dir/__/__/__/common/kernel/str_ring_buffer.cc.o" "CMakeFiles/nextpnr-himbaechel-gowin.dir/__/__/__/common/kernel/svg.cc.o" "CMakeFiles/nextpnr-himbaechel-gowin.dir/__/__/__/common/kernel/timing.cc.o" "CMakeFiles/nextpnr-himbaechel-gowin.dir/__/__/__/common/kernel/timing_log.cc.o" "CMakeFiles/nextpnr-himbaechel-gowin.dir/__/__/__/frontend/json_frontend.cc.o" "CMakeFiles/nextpnr-himbaechel-gowin.dir/__/__/__/json/jsonwrite.cc.o" "CMakeFiles/nextpnr-himbaechel-gowin.dir/__/__/__/common/place/detail_place_core.cc.o" "CMakeFiles/nextpnr-himbaechel-gowin.dir/__/__/__/common/place/parallel_refine.cc.o" "CMakeFiles/nextpnr-himbaechel-gowin.dir/__/__/__/common/place/place_common.cc.o" "CMakeFiles/nextpnr-himbaechel-gowin.dir/__/__/__/common/place/placer1.cc.o" "CMakeFiles/nextpnr-himbaechel-gowin.dir/__/__/__/common/place/placer_heap.cc.o" "CMakeFiles/nextpnr-himbaechel-gowin.dir/__/__/__/common/place/placer_static.cc.o" "CMakeFiles/nextpnr-himbaechel-gowin.dir/__/__/__/common/place/timing_opt.cc.o" "CMakeFiles/nextpnr-himbaechel-gowin.dir/__/__/__/common/route/router1.cc.o" "CMakeFiles/nextpnr-himbaechel-gowin.dir/__/__/__/common/route/router2.cc.o" "CMakeFiles/nextpnr-himbaechel-gowin.dir/__/__/__/3rdparty/oourafft/fftsg.cc.o" "CMakeFiles/nextpnr-himbaechel-gowin.dir/__/__/__/3rdparty/oourafft/fftsg2d.cc.o" "gui/CMakeFiles/nextpnr-himbaechel-gowin-gui.dir/nextpnr-himbaechel-gowin-gui_autogen/mocs_compilation.cpp.o" "gui/CMakeFiles/nextpnr-himbaechel-gowin-gui.dir/application.cc.o" "gui/CMakeFiles/nextpnr-himbaechel-gowin-gui.dir/basewindow.cc.o" "gui/CMakeFiles/nextpnr-himbaechel-gowin-gui.dir/designwidget.cc.o" "gui/CMakeFiles/nextpnr-himbcd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/ice40 && /gnu/store/d5c8i3b549r412lkhddggyfx9mqdphs7-cmake-minimal-3.31.10/bin/cmake -E rename /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/ice40/chipdb-5k.bba.new /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/ice40/chipdb-5k.bba cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/ice40 && /gnu/store/d5c8i3b549r412lkhddggyfx9mqdphs7-cmake-minimal-3.31.10/bin/cmake -E copy /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/ice40/chipdb-5k.bba /gnu/store/davzxg5m27gz2djfkghkz3pb7ys8gvgi-nextpnr-ice40-0.9-0.ad76625-bba/share/nextpnr/bba-files/ice40/chipdb-5k.bba Generate nodes for MESH[91x41]... Generate nodes for MESH[91x43]... Generate nodes for MESH[91x45]... Generate nodes for MESH[91x47]... Generate nodes for MESH[91x49]... Generate nodes for MESH[91x5]... Generate nodes for MESH[91x7]... Generate nodes for MESH[91x9]... Generate nodes for MESH[92x11]... Generate nodes for MESH[92x13]... Generate nodes for MESH[92x15]... Generate nodes for MESH[92x17]... Generate nodes for MESH[92x19]... Generate nodes for MESH[92x1]... Generate nodes for MESH[92x21]... Generate nodes for MESH[92x23]... Generate nodes for MESH[92x25]... Generate nodes for MESH[92x37]... Generate nodes for MESH[92x39]... Generate nodes for MESH[92x3]... Generate nodes for MESH[92x41]... Generate nodes for MESH[92x43]... Generate nodes for MESH[92x45]... Generate nodes for MESH[92x47]... Generate nodes for MESH[92x49]... Generate nodes for MESH[92x5]... Generate nodes for MESH[92x7]... Generate nodes for MESH[92x9]... Generate nodes for MESH[9x11]... Generate nodes for MESH[9x13]... Generate nodes for MESH[9x15]... Generate nodes for MESH[9x17]... Generate nodes for MESH[9x19]... Generate nodes for MESH[9x1]... Generate nodes for MESH[9x21]... Generate nodes for MESH[9x23]... Generate nodes for MESH[9x25]... Generate nodes for MESH[9x27]... Generate nodes for MESH[9x29]... Generate nodes for MESH[9x31]... Generate nodes for MESH[9x33]... Generate nodes for MESH[9x35]... Generate nodes for MESH[9x37]... Generate nodes for MESH[9x39]... Generate nodes for MESH[9x3]... Generate nodes for MESH[9x41]... Generate nodes for MESH[9x43]... Generate nodes for MESH[9x45]... Generate nodes for MESH[9x47]... Generate nodes for MESH[9x49]... Generate nodes for MESH[9x5]... Generate nodes for MESH[9x7]... Generate nodes for MESH[9x9]... Generate nodes for SOCBank... Generate nodes for TILE[10x12]... Generate nodes for TILE[10x14]... Generate nodes for TILE[10x16]... Generate nodes for TILE[10x20]... Generate nodes for TILE[10x24]... Generate nodes for TILE[10x26]... Generate nodes for TILE[10x28]... Generate nodes for TILE[10x2]... Generate nodes for TILE[10x32]... Generate nodes for TILE[10x36]... Generate nodes for TILE[10x38]... Generate nodes for TILE[10x40]... Generate nodes for TILE[10x44]... Generate nodes for TILE[10x48]... Generate nodes for TILE[10x4]... Generate nodes for TILE[10x8]... Generate nodes for TILE[11x12]... Generate nodes for TILE[11x14]... Generate nodes for TILE[11x16]... Generate nodes for TILE[11x20]... Generate nodes for TILE[11x24]... Generate nodes for TILE[11x26]... Generate nodes for TILE[11x28]... Generate nodes for TILE[11x2]... Generate nodes for TILE[11x32]... Generate nodes for TILE[11x36]... Generate nodes for TILE[11x38]... Generate nodes for TILE[11x40]... Generate nodes for TILE[11x44]... Generate nodes for TILE[11x48]... Generate nodes for TILE[11x4]... Generate nodes for TILE[11x8]... Generate nodes for TILE[12x12]... Generate nodes for TILE[12x14]... Generate nodes for TILE[12x16]... Generate nodes for TILE[12x20]... Generate nodes for TILE[12x24]... Generate nodes for TILE[12x26]... Generate nodes for TILE[12x28]... Generate nodes for TILE[12x2]... Generate nodes for TILE[12x32]... Generate nodes for TILE[12x36]... Generate nodes for TILE[12x38]... Generate nodes for TILE[12x40]... Generate nodes for TILE[12x44]... Generate nodes for TILE[12x48]... Generate nodes for TILE[12x4]... Generate nodes for TILE[12x8]... Generate nodes for TILE[13x12]... Generate nodes for TILE[13x14]... Generate nodes for TILE[13x16]... Generate nodes for TILE[13x20]... Generate nodes for TILE[13x24]... Generate nodes for TILE[13x26]... Generate nodes for TILE[13x28]... Generate nodes for TILE[13x2]... Generate nodes for TILE[13x32]... Generate nodes for TILE[13x36]... Generate nodes for TILE[13x38]... Generate nodes for TILE[13x40]... Generate nodes for TILE[13x44]... Generate nodes for TILE[13x48]... Generate nodes for TILE[13x4]... Generate nodes for TILE[13x8]... Generate nodes for TILE[14x12]... Generate nodes for TILE[14x14]... Generate nodes for TILE[14x16]... Generate nodes for TILE[14x20]... Generate nodes for TILE[14x24]... Generate nodes for TILE[14x26]... Generate nodes for TILE[14x28]... Generate nodes for TILE[14x2]... Generate nodes for TILE[14x32]... Generate nodes for TILE[14x36]... Generate nodes for TILE[14x38]... Generate nodes for TILE[14x40]... Generate nodes for TILE[14x44]... Generate nodes for TILE[14x48]... Generate nodes for TILE[14x4]... Generate nodes for TILE[14x8]... Generate nodes for TILE[15x12]... Generate nodes for TILE[15x14]... Generate nodes for TILE[15x16]... Generate nodes for TILE[15x20]... Generate nodes for TILE[15x24]... Generate nodes for TILE[15x26]... Generate nodes for TILE[15x28]... Generate nodes for TILE[15x2]... Generate nodes for TILE[15x32]... Generate nodes for TILE[15x36]... Generate nodes for TILE[15x38]... Generate nodes for TILE[15x40]... Generate nodes for TILE[15x44]... Generate nodes for TILE[15x48]... Generate nodes for TILE[15x4]... Generate nodes for TILE[15x8]... Generate nodes for TILE[16x12]... Generate nodes for TILE[16x14]... Generate nodes for TILE[16x16]... Generate nodes for TILE[16x20]... Generate nodes for TILE[16x24]... Generate nodes for TILE[16x26]... Generate nodes for TILE[16x28]... Generate nodes for TILE[16x2]... Generate nodes for TILE[16x32]... Generate nodes for TILE[16x36]... Generate nodes for TILE[16x38]... Generate nodes for TILE[16x40]... Generate nodes for TILE[16x44]... Generate nodes for TILE[16x48]... Generate nodes for TILE[16x4]... Generate nodes for TILE[16x8]... Generate nodes for TILE[17x12]... Generate nodes for TILE[17x14]... Generate nodes for TILE[17x16]... Generate nodes for TILE[17x20]... Generate nodes for TILE[17x24]... Generate nodes for TILE[17x26]... Generate nodes for TILE[17x28]... Generate nodes for TILE[17x2]... Generate nodes for TILE[17x32]... Generate nodes for TILE[17x36]... Generate nodes for TILE[17x38]... Generate nodes for TILE[17x40]... Generate nodes for TILE[17x44]... Generate nodes for TILE[17x48]... Generate nodes for TILE[17x4]... Generate nodes for TILE[17x8]... Generate nodes for TILE[18x12]... Generate nodes for TILE[18x14]... Generate nodes for TILE[18x16]... Generate nodes for TILE[18x20]... Generate nodes for TILE[18x24]... Generate nodes for TILE[18x26]... Generate nodes for TILE[18x28]... Generate nodes for TILE[18x2]... Generate nodes for TILE[18x32]... Generate nodes for TILE[18x36]... Generate nodes for TILE[18x38]... Generate nodes for TILE[18x40]... Generate nodes for TILE[18x44]... Generate nodes for TILE[18x48]... Generate nodes for TILE[18x4]... Generate nodes for TILE[18x8]... Generate nodes for TILE[19x12]... Generate nodes for TILE[19x14]... Generate nodes for TILE[19x16]... Generate nodes for TILE[19x20]... Generate nodes for TILE[19x24]... Generate nodes for TILE[19x26]... Generate nodes for TILE[19x28]... Generate nodes for TILE[19x2]... Generate nodes for TILE[19x32]... Generate nodes for TILE[19x36]... Generate nodes for TILE[19x38]... Generate nodes for TILE[19x40]... Generate nodes for TILE[19x44]... Generate nodes for TILE[19x48]... Generate nodes for TILE[19x4]... Generate nodes for TILE[19x8]... Generate nodes for TILE[1x12]... Generate nodes for TILE[1x14]... Generate nodes for TILE[1x16]... Generate nodes for TILE[1x20]... Generate nodes for TILE[1x24]... Generate nodes for TILE[1x2]... Generate nodes for TILE[1x38]... Generate nodes for TILE[1x40]... Generate nodes for TILE[1x44]... Generate nodes for TILE[1x48]... Generate nodes for TILE[1x4]... Generate nodes for TILE[1x8]... Generate nodes for TILE[20x12]... Generate nodes for TILE[20x14]... Generate nodes for TILE[20x16]... Generate nodes for TILE[20x20]... Generate nodes for TILE[20x24]... Generate nodes for TILE[20x26]... Generate nodes for TILE[20x28]... Generate nodes for TILE[20x2]... Generate nodes for TILE[20x32]... Generate nodes for TILE[20x36]... Generate nodes for TILE[20x38]... Generate nodes for TILE[20x40]... Generate nodes for TILE[20x44]... Generate nodes for TILE[20x48]... Generate nodes for TILE[20x4]... Generate nodes for TILE[20x8]... Generate nodes for TILE[21x12]... Generate nodes for TILE[21x14]...dir/pythontab.cc.o" "gui/CMakeFiles/nextpnr-generic-gui.dir/treemodel.cc.o" "gui/CMakeFiles/nextpnr-generic-gui.dir/worker.cc.o" "gui/CMakeFiles/nextpnr-generic-gui.dir/generic/mainwindow.cc.o" "gui/CMakeFiles/nextpnr-generic-gui.dir/qrc_base.cpp.o" "gui/CMakeFiles/nextpnr-generic-gui.dir/qrc_nextpnr.cpp.o" "gui/CMakeFiles/nextpnr-generic-gui.dir/__/3rdparty/python-console/ColumnFormatter.cpp.o" "gui/CMakeFiles/nextpnr-generic-gui.dir/__/3rdparty/python-console/ParseHelper.cpp.o" "gui/CMakeFiles/nextpnr-generic-gui.dir/__/3rdparty/python-console/ParseHelper.BlockParseState.cpp.o" "gui/CMakeFiles/nextpnr-generic-gui.dir/__/3rdparty/python-console/ParseHelper.BracketParseState.cpp.o" "gui/CMakeFiles/nextpnr-generic-gui.dir/__/3rdparty/python-console/ParseHelper.ContinuationParseState.cpp.o" "gui/CMakeFiles/nextpnr-generic-gui.dir/__/3rdparty/python-console/ParseMessage.cpp.o" "gui/CMakeFiles/nextpnr-generic-gui.dir/__/3rdparty/python-console/modified/pyredirector.cc.o" "gui/CMakeFiles/nextpnr-generic-gui.dir/__/3rdparty/python-console/modified/pyinterpreter.cc.o" -o ../nextpnr-generic-test /gnu/store/8plnc3lpnfhyqyy4khcjzm7lhnvvnnw7-googletest-1.17.0/lib/libgtest_main.so.1.17.0 /gnu/store/8plnc3lpnfhyqyy4khcjzm7lhnvvnnw7-googletest-1.17.0/lib/libgtest.so.1.17.0 ../3rdparty/json11/libjson11.a /gnu/store/4vxzslxx9fcvhcq0cqjr168shg8s1kwa-boost-1.83.0/lib/libboost_filesystem.so.1.83.0 /gnu/store/4vxzslxx9fcvhcq0cqjr168shg8s1kwa-boost-1.83.0/lib/libboost_atomic.so.1.83.0 /gnu/store/4vxzslxx9fcvhcq0cqjr168shg8s1kwa-boost-1.83.0/lib/libboost_program_options.so.1.83.0 /gnu/store/4vxzslxx9fcvhcq0cqjr168shg8s1kwa-boost-1.83.0/lib/libboost_iostreams.so.1.83.0 /gnu/store/4vxzslxx9fcvhcq0cqjr168shg8s1kwa-boost-1.83.0/lib/libboost_system.so.1.83.0 /gnu/store/4vxzslxx9fcvhcq0cqjr168shg8s1kwa-boost-1.83.0/lib/libboost_thread.so.1.83.0 /gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/lib/libpython3.11.so /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/lib/libQt5OpenGL.so.5.15.17 ../3rdparty/QtPropertyBrowser/src/libQtPropertyBrowser.a /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/lib/libQt5Widgets.so.5.15.17 /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/lib/libQt5Gui.so.5.15.17 /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/lib/libQt5Core.so.5.15.17 -limgui /gnu/store/ny8visn9x0y1r3bfylrirfbjprg3nh15-qtimgui-0.0-0.48d64a7/lib/libqt_imgui_widgets.so make[2]: Leaving directory '/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build' [ 47%] Built target nextpnr-generic-test aechel-gowin-gui.dir/fpgaviewwidget.cc.o" "gui/CMakeFiles/nextpnr-himbaechel-gowin-gui.dir/line_editor.cc.o" "gui/CMakeFiles/nextpnr-himbaechel-gowin-gui.dir/lineshader.cc.o" "gui/CMakeFiles/nextpnr-himbaechel-gowin-gui.dir/pyconsole.cc.o" "gui/CMakeFiles/nextpnr-himbaechel-gowin-gui.dir/pythontab.cc.o" "gui/CMakeFiles/nextpnr-himbaechel-gowin-gui.dir/treemodel.cc.o" "gui/CMakeFiles/nextpnr-himbaechel-gowin-gui.dir/worker.cc.o" "gui/CMakeFiles/nextpnr-himbaechel-gowin-gui.dir/himbaechel/mainwindow.cc.o" "gui/CMakeFiles/nextpnr-himbaechel-gowin-gui.dir/qrc_base.cpp.o" "gui/CMakeFiles/nextpnr-himbaechel-gowin-gui.dir/qrc_nextpnr.cpp.o" "gui/CMakeFiles/nextpnr-himbaechel-gowin-gui.dir/__/3rdparty/python-console/ColumnFormatter.cpp.o" "gui/CMakeFiles/nextpnr-himbaechel-gowin-gui.dir/__/3rdparty/python-console/ParseHelper.cpp.o" "gui/CMakeFiles/nextpnr-himbaechel-gowin-gui.dir/__/3rdparty/python-console/ParseHelper.BlockParseState.cpp.o" "gui/CMakeFiles/nextpnr-himbaechel-gowin-gui.dir/__/3rdparty/python-console/ParseHelper.BracketParseState.cpp.o" "gui/CMakeFiles/nextpnr-himbaechel-gowin-gui.dir/__/3rdparty/python-console/ParseHelper.ContinuationParseState.cpp.o" "gui/CMakeFiles/nextpnr-himbaechel-gowin-gui.dir/__/3rdparty/python-console/ParseMessage.cpp.o" "gui/CMakeFiles/nextpnr-himbaechel-gowin-gui.dir/__/3rdparty/python-console/modified/pyredirector.cc.o" "gui/CMakeFiles/nextpnr-himbaechel-gowin-gui.dir/__/3rdparty/python-console/modified/pyinterpreter.cc.o" -o ../../../nextpnr-himbaechel-gowin -Wl,-rpath,::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::: ../../../3rdparty/json11/libjson11.a /gnu/store/4vxzslxx9fcvhcq0cqjr168shg8s1kwa-boost-1.83.0/lib/libboost_filesystem.so.1.83.0 /gnu/store/4vxzslxx9fcvhcq0cqjr168shg8s1kwa-boost-1.83.0/lib/libboost_atomic.so.1.83.0 /gnu/store/4vxzslxx9fcvhcq0cqjr168shg8s1kwa-boost-1.83.0/lib/libboost_program_options.so.1.83.0 /gnu/store/4vxzslxx9fcvhcq0cqjr168shg8s1kwa-boost-1.83.0/lib/libboost_iostreams.so.1.83.0 /gnu/store/4vxzslxx9fcvhcq0cqjr168shg8s1kwa-boost-1.83.0/lib/libboost_system.so.1.83.0 /gnu/store/4vxzslxx9fcvhcq0cqjr168shg8s1kwa-boost-1.83.0/lib/libboost_thread.so.1.83.0 /gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/lib/libpython3.11.so /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/lib/libQt5OpenGL.so.5.15.17 ../../../3rdparty/QtPropertyBrowser/src/libQtPropertyBrowser.a /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/lib/libQt5Widgets.so.5.15.17 /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/lib/libQt5Gui.so.5.15.17 /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/lib/libQt5Core.so.5.15.17 -limgui /gnu/store/ny8visn9x0y1r3bfylrirfbjprg3nh15-qtimgui-0.0-0.48d64a7/lib/libqt_imgui_widgets.so make[2]: Leaving directory '/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build' [ 47%] Built target nextpnr-himbaechel-gowin cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/ecp5 && /gnu/store/d5c8i3b549r412lkhddggyfx9mqdphs7-cmake-minimal-3.31.10/bin/cmake -E rename /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/ecp5/chipdb-25k.bba.new /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/ecp5/chipdb-25k.bba cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/ecp5 && /gnu/store/d5c8i3b549r412lkhddggyfx9mqdphs7-cmake-minimal-3.31.10/bin/cmake -E copy /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/ecp5/chipdb-25k.bba /gnu/store/davzxg5m27gz2djfkghkz3pb7ys8gvgi-nextpnr-ice40-0.9-0.ad76625-bba/share/nextpnr/bba-files/ecp5/chipdb-25k.bba [ 47%] Generating chipdb-25k.bin.cc cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/ecp5 && ../bba/bbasm --le --c /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/ecp5/chipdb-25k.bba /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/ecp5/chipdb-25k.bin.cc gen/mocs_compilation.cpp.o" "gui/CMakeFiles/nextpnr-himbaechel-gowin-gui.dir/application.cc.o" "gui/CMakeFiles/nextpnr-himbaechel-gowin-gui.dir/basewindow.cc.o" "gui/CMakeFiles/nextpnr-himbaechel-gowin-gui.dir/designwidget.cc.o" "gui/CMakeFiles/nextpnr-himbaechel-gowin-gui.dir/fpgaviewwidget.cc.o" "gui/CMakeFiles/nextpnr-himbaechel-gowin-gui.dir/line_editor.cc.o" "gui/CMakeFiles/nextpnr-himbaechel-gowin-gui.dir/lineshader.cc.o" "gui/CMakeFiles/nextpnr-himbaechel-gowin-gui.dir/pyconsole.cc.o" "gui/CMakeFiles/nextpnr-himbaechel-gowin-gui.dir/pythontab.cc.o" "gui/CMakeFiles/nextpnr-himbaechel-gowin-gui.dir/treemodel.cc.o" "gui/CMakeFiles/nextpnr-himbaechel-gowin-gui.dir/worker.cc.o" "gui/CMakeFiles/nextpnr-himbaechel-gowin-gui.dir/himbaechel/mainwindow.cc.o" "gui/CMakeFiles/nextpnr-himbaechel-gowin-gui.dir/qrc_base.cpp.o" "gui/CMakeFiles/nextpnr-himbaechel-gowin-gui.dir/qrc_nextpnr.cpp.o" "gui/CMakeFiles/nextpnr-himbaechel-gowin-gui.dir/__/3rdparty/python-console/ColumnFormatter.cpp.o" "gui/CMakeFiles/nextpnr-himbaechel-gowin-gui.dir/__/3rdparty/python-console/ParseHelper.cpp.o" "gui/CMakeFiles/nextpnr-himbaechel-gowin-gui.dir/__/3rdparty/python-console/ParseHelper.BlockParseState.cpp.o" "gui/CMakeFiles/nextpnr-himbaechel-gowin-gui.dir/__/3rdparty/python-console/ParseHelper.BracketParseState.cpp.o" "gui/CMakeFiles/nextpnr-himbaechel-gowin-gui.dir/__/3rdparty/python-console/ParseHelper.ContinuationParseState.cpp.o" "gui/CMakeFiles/nextpnr-himbaechel-gowin-gui.dir/__/3rdparty/python-console/ParseMessage.cpp.o" "gui/CMakeFiles/nextpnr-himbaechel-gowin-gui.dir/__/3rdparty/python-console/modified/pyredirector.cc.o" "gui/CMakeFiles/nextpnr-himbaechel-gowin-gui.dir/__/3rdparty/python-console/modified/pyinterpreter.cc.o" -o ../../../nextpnr-himbaechel-gowin-test /gnu/store/8plnc3lpnfhyqyy4khcjzm7lhnvvnnw7-googletest-1.17.0/lib/libgtest_main.so.1.17.0 /gnu/store/8plnc3lpnfhyqyy4khcjzm7lhnvvnnw7-googletest-1.17.0/lib/libgtest.so.1.17.0 ../../../3rdparty/json11/libjson11.a /gnu/store/4vxzslxx9fcvhcq0cqjr168shg8s1kwa-boost-1.83.0/lib/libboost_filesystem.so.1.83.0 /gnu/store/4vxzslxx9fcvhcq0cqjr168shg8s1kwa-boost-1.83.0/lib/libboost_atomic.so.1.83.0 /gnu/store/4vxzslxx9fcvhcq0cqjr168shg8s1kwa-boost-1.83.0/lib/libboost_program_options.so.1.83.0 /gnu/store/4vxzslxx9fcvhcq0cqjr168shg8s1kwa-boost-1.83.0/lib/libboost_iostreams.so.1.83.0 /gnu/store/4vxzslxx9fcvhcq0cqjr168shg8s1kwa-boost-1.83.0/lib/libboost_system.so.1.83.0 /gnu/store/4vxzslxx9fcvhcq0cqjr168shg8s1kwa-boost-1.83.0/lib/libboost_thread.so.1.83.0 /gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/lib/libpython3.11.so /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/lib/libQt5OpenGL.so.5.15.17 ../../../3rdparty/QtPropertyBrowser/src/libQtPropertyBrowser.a /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/lib/libQt5Widgets.so.5.15.17 /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/lib/libQt5Gui.so.5.15.17 /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/lib/libQt5Core.so.5.15.17 -limgui /gnu/store/ny8visn9x0y1r3bfylrirfbjprg3nh15-qtimgui-0.0-0.48d64a7/lib/libqt_imgui_widgets.so make[2]: Leaving directory '/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build' [ 47%] Built target nextpnr-himbaechel-gowin-test cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/ice40 && /gnu/store/d5c8i3b549r412lkhddggyfx9mqdphs7-cmake-minimal-3.31.10/bin/cmake -E rename /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/ice40/chipdb-8k.bba.new /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/ice40/chipdb-8k.bba cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/ice40 && /gnu/store/d5c8i3b549r412lkhddggyfx9mqdphs7-cmake-minimal-3.31.10/bin/cmake -E copy /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/ice40/chipdb-8k.bba /gnu/store/davzxg5m27gz2djfkghkz3pb7ys8gvgi-nextpnr-ice40-0.9-0.ad76625-bba/share/nextpnr/bba-files/ice40/chipdb-8k.bba [ 47%] Generating chipdb-8k.bin.cc [ 47%] Generating chipdb-5k.bin.cc cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/ice40 && ../bba/bbasm --le --c /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/ice40/chipdb-8k.bba /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/ice40/chipdb-8k.bin.cc cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/ice40 && ../bba/bbasm --le --c /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/ice40/chipdb-5k.bba /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/ice40/chipdb-5k.bin.cc Generate nodes for TILE[21x16]... Generate nodes for TILE[21x20]... Generate nodes for TILE[21x24]... Generate nodes for TILE[21x26]... Generate nodes for TILE[21x28]... Generate nodes for TILE[21x2]... Generate nodes for TILE[21x32]... Generate nodes for TILE[21x36]... Generate nodes for TILE[21x38]... Generate nodes for TILE[21x40]... Generate nodes for TILE[21x44]... Generate nodes for TILE[21x48]... Generate nodes for TILE[21x4]... Generate nodes for TILE[21x8]... Generate nodes for TILE[22x12]... Generate nodes for TILE[22x14]... Generate nodes for TILE[22x16]... Generate nodes for TILE[22x20]... Generate nodes for TILE[22x24]... Generate nodes for TILE[22x26]... Generate nodes for TILE[22x28]... Generate nodes for TILE[22x2]... Generate nodes for TILE[22x32]... Generate nodes for TILE[22x36]... Generate nodes for TILE[22x38]... Generate nodes for TILE[22x40]... Generate nodes for TILE[22x44]... Generate nodes for TILE[22x48]... Generate nodes for TILE[22x4]... Generate nodes for TILE[22x8]... Generate nodes for TILE[23x12]... Generate nodes for TILE[23x14]... Generate nodes for TILE[23x16]... Generate nodes for TILE[23x20]... Generate nodes for TILE[23x24]... Generate nodes for TILE[23x26]... Generate nodes for TILE[23x28]... Generate nodes for TILE[23x2]... Generate nodes for TILE[23x32]... Generate nodes for TILE[23x36]... Generate nodes for TILE[23x38]... Generate nodes for TILE[23x40]... Generate nodes for TILE[23x44]... Generate nodes for TILE[23x48]... Generate nodes for TILE[23x4]... Generate nodes for TILE[23x8]... Generate nodes for TILE[24x12]... Generate nodes for TILE[24x14]... Generate nodes for TILE[24x16]... Generate nodes for TILE[24x20]... Generate nodes for TILE[24x24]... Generate nodes for TILE[24x26]... Generate nodes for TILE[24x28]... Generate nodes for TILE[24x2]... Generate nodes for TILE[24x32]... Generate nodes for TILE[24x36]... Generate nodes for TILE[24x38]... Generate nodes for TILE[24x40]... Generate nodes for TILE[24x44]... Generate nodes for TILE[24x48]... Generate nodes for TILE[24x4]... Generate nodes for TILE[24x8]... Generate nodes for TILE[25x12]... Generate nodes for TILE[25x14]... Generate nodes for TILE[25x16]... Generate nodes for TILE[25x20]... Generate nodes for TILE[25x24]... Generate nodes for TILE[25x26]... Generate nodes for TILE[25x28]... Generate nodes for TILE[25x2]... Generate nodes for TILE[25x32]... Generate nodes for TILE[25x36]... Generate nodes for TILE[25x38]... Generate nodes for TILE[25x40]... Generate nodes for TILE[25x44]... Generate nodes for TILE[25x48]... Generate nodes for TILE[25x4]... Generate nodes for TILE[25x8]... Generate nodes for TILE[26x12]... Generate nodes for TILE[26x14]... Generate nodes for TILE[26x16]... Generate nodes for TILE[26x20]... Generate nodes for TILE[26x24]... Generate nodes for TILE[26x26]... Generate nodes for TILE[26x28]... Generate nodes for TILE[26x2]... Generate nodes for TILE[26x32]... Generate nodes for TILE[26x36]... Generate nodes for TILE[26x38]... Generate nodes for TILE[26x40]... Generate nodes for TILE[26x44]... Generate nodes for TILE[26x48]... Generate nodes for TILE[26x4]... Generate nodes for TILE[26x8]... Generate nodes for TILE[27x12]... Generate nodes for TILE[27x14]... Generate nodes for TILE[27x16]... Generate nodes for TILE[27x20]... Generate nodes for TILE[27x24]... Generate nodes for TILE[27x26]... Generate nodes for TILE[27x28]... Generate nodes for TILE[27x2]... Generate nodes for TILE[27x32]... Generate nodes for TILE[27x36]... Generate nodes for TILE[27x38]... Generate nodes for TILE[27x40]... Generate nodes for TILE[27x44]... Generate nodes for TILE[27x48]... Generate nodes for TILE[27x4]... Generate nodes for TILE[27x8]... Generate nodes for TILE[28x12]... Generate nodes for TILE[28x14]... Generate nodes for TILE[28x16]... Generate nodes for TILE[28x20]... Generate nodes for TILE[28x24]... Generate nodes for TILE[28x26]... Generate nodes for TILE[28x28]... Generate nodes for TILE[28x2]... Generate nodes for TILE[28x32]... Generate nodes for TILE[28x36]... Generate nodes for TILE[28x38]... Generate nodes for TILE[28x40]... Generate nodes for TILE[28x44]... Generate nodes for TILE[28x48]... Generate nodes for TILE[28x4]... Generate nodes for TILE[28x8]... Generate nodes for TILE[29x12]... Generate nodes for TILE[29x14]... Generate nodes for TILE[29x16]... Generate nodes for TILE[29x20]... Generate nodes for TILE[29x24]... Generate nodes for TILE[29x26]... Generate nodes for TILE[29x28]... Generate nodes for TILE[29x2]... Generate nodes for TILE[29x32]... Generate nodes for TILE[29x36]... Generate nodes for TILE[29x38]... Generate nodes for TILE[29x40]... Generate nodes for TILE[29x44]... Generate nodes for TILE[29x48]... Generate nodes for TILE[29x4]... Generate nodes for TILE[29x8]... Generate nodes for TILE[2x12]... Generate nodes for TILE[2x14]... Generate nodes for TILE[2x16]... Generate nodes for TILE[2x20]... Generate nodes for TILE[2x24]... Generate nodes for TILE[2x2]... Generate nodes for TILE[2x38]... Generate nodes for TILE[2x40]... Generate nodes for TILE[2x44]... Generate nodes for TILE[2x48]... Generate nodes for TILE[2x4]... Generate nodes for TILE[2x8]... Generate nodes for TILE[30x12]... Generate nodes for TILE[30x14]... Generate nodes for TILE[30x16]... Generate nodes for TILE[30x20]... Generate nodes for TILE[30x24]... Generate nodes for TILE[30x26]... Generate nodes for TILE[30x28]... Generate nodes for TILE[30x2]... Generate nodes for TILE[30x32]... Generate nodes for TILE[30x36]... Generate nodes for TILE[30x38]... Generate nodes for TILE[30x40]... Generate nodes for TILE[30x44]... Generate nodes for TILE[30x48]... Generate nodes for TILE[30x4]... Generate nodes for TILE[30x8]... Generate nodes for TILE[31x12]... Generate nodes for TILE[31x14]... Generate nodes for TILE[31x16]... Generate nodes for TILE[31x20]... Generate nodes for TILE[31x24]... Generate nodes for TILE[31x26]... Generate nodes for TILE[31x28]... Generate nodes for TILE[31x2]... Generate nodes for TILE[31x32]... Generate nodes for TILE[31x36]... Generate nodes for TILE[31x38]... Generate nodes for TILE[31x40]... Generate nodes for TILE[31x44]... Generate nodes for TILE[31x48]... Generate nodes for TILE[31x4]... Generate nodes for TILE[31x8]... Generate nodes for TILE[32x12]... Generate nodes for TILE[32x14]... Generate nodes for TILE[32x16]... Generate nodes for TILE[32x20]... Generate nodes for TILE[32x24]... Generate nodes for TILE[32x26]... Generate nodes for TILE[32x28]... Generate nodes for TILE[32x2]... Generate nodes for TILE[32x32]... Generate nodes for TILE[32x36]... Generate nodes for TILE[32x38]... Generate nodes for TILE[32x40]... Generate nodes for TILE[32x44]... Generate nodes for TILE[32x48]... Generate nodes for TILE[32x4]... Generate nodes for TILE[32x8]... Generate nodes for TILE[33x16]... Generate nodes for TILE[33x20]... Generate nodes for TILE[33x24]... Generate nodes for TILE[33x26]... Generate nodes for TILE[33x28]... Generate nodes for TILE[33x32]... Generate nodes for TILE[33x36]... Generate nodes for TILE[33x38]... Generate nodes for TILE[33x40]... Generate nodes for TILE[33x44]... Generate nodes for TILE[33x48]... Generate nodes for TILE[34x16]... Generate nodes for TILE[34x20]... Generate nodes for TILE[34x24]... Generate nodes for TILE[34x26]... Generate nodes for TILE[34x28]... Generate nodes for TILE[34x32]... Generate nodes for TILE[34x36]... Generate nodes for TILE[34x38]... Generate nodes for TILE[34x40]... Generate nodes for TILE[34x44]... Generate nodes for TILE[34x48]... Generate nodes for TILE[35x16]... Generate nodes for TILE[35x20]... Generate nodes for TILE[35x24]... Generate nodes for TILE[35x26]... Generate nodes for TILE[35x28]... Generate nodes for TILE[35x32]... Generate nodes for TILE[35x36]... Generate nodes for TILE[35x38]... Generate nodes for TILE[35x40]... Generate nodes for TILE[35x44]... Generate nodes for TILE[35x48]... Generate nodes for TILE[36x16]... Generate nodes for TILE[36x20]... Generate nodes for TILE[36x24]... Generate nodes for TILE[36x26]... Generate nodes for TILE[36x28]... Generate nodes for TILE[36x32]... Generate nodes for TILE[36x36]... Generate nodes for TILE[36x38]...r-generic-gui.dir/qrc_nextpnr.cpp.o" "gui/CMakeFiles/nextpnr-generic-gui.dir/__/3rdparty/python-console/ColumnFormatter.cpp.o" "gui/CMakeFiles/nextpnr-generic-gui.dir/__/3rdparty/python-console/ParseHelper.cpp.o" "gui/CMakeFiles/nextpnr-generic-gui.dir/__/3rdparty/python-console/ParseHelper.BlockParseState.cpp.o" "gui/CMakeFiles/nextpnr-generic-gui.dir/__/3rdparty/python-console/ParseHelper.BracketParseState.cpp.o" "gui/CMakeFiles/nextpnr-generic-gui.dir/__/3rdparty/python-console/ParseHelper.ContinuationParseState.cpp.o" "gui/CMakeFiles/nextpnr-generic-gui.dir/__/3rdparty/python-console/ParseMessage.cpp.o" "gui/CMakeFiles/nextpnr-generic-gui.dir/__/3rdparty/python-console/modified/pyredirector.cc.o" "gui/CMakeFiles/nextpnr-generic-gui.dir/__/3rdparty/python-console/modified/pyinterpreter.cc.o" -o ../nextpnr-generic -Wl,-rpath,::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::: ../3rdparty/json11/libjson11.a /gnu/store/4vxzslxx9fcvhcq0cqjr168shg8s1kwa-boost-1.83.0/lib/libboost_filesystem.so.1.83.0 /gnu/store/4vxzslxx9fcvhcq0cqjr168shg8s1kwa-boost-1.83.0/lib/libboost_atomic.so.1.83.0 /gnu/store/4vxzslxx9fcvhcq0cqjr168shg8s1kwa-boost-1.83.0/lib/libboost_program_options.so.1.83.0 /gnu/store/4vxzslxx9fcvhcq0cqjr168shg8s1kwa-boost-1.83.0/lib/libboost_iostreams.so.1.83.0 /gnu/store/4vxzslxx9fcvhcq0cqjr168shg8s1kwa-boost-1.83.0/lib/libboost_system.so.1.83.0 /gnu/store/4vxzslxx9fcvhcq0cqjr168shg8s1kwa-boost-1.83.0/lib/libboost_thread.so.1.83.0 /gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/lib/libpython3.11.so /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/lib/libQt5OpenGL.so.5.15.17 ../3rdparty/QtPropertyBrowser/src/libQtPropertyBrowser.a /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/lib/libQt5Widgets.so.5.15.17 /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/lib/libQt5Gui.so.5.15.17 /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/lib/libQt5Core.so.5.15.17 -limgui /gnu/store/ny8visn9x0y1r3bfylrirfbjprg3nh15-qtimgui-0.0-0.48d64a7/lib/libqt_imgui_widgets.so make[2]: Leaving directory '/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build' [ 47%] Built target nextpnr-generic make[2]: Leaving directory '/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build' [ 47%] Built target nextpnr-ice40-chipdb /gnu/store/mx9i9dnjx34lkx8k8r79876q2f5dclvr-make-4.4.1/bin/make -f ice40/CMakeFiles/nextpnr-ice40.dir/build.make ice40/CMakeFiles/nextpnr-ice40.dir/depend /gnu/store/mx9i9dnjx34lkx8k8r79876q2f5dclvr-make-4.4.1/bin/make -f ice40/CMakeFiles/nextpnr-ice40-test.dir/build.make ice40/CMakeFiles/nextpnr-ice40-test.dir/depend make[2]: Entering directory '/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build' cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build && /gnu/store/d5c8i3b549r412lkhddggyfx9mqdphs7-cmake-minimal-3.31.10/bin/cmake -E cmake_depends "Unix Makefiles" /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/ice40 /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/ice40 /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/ice40/CMakeFiles/nextpnr-ice40.dir/DependInfo.cmake "--color=" make[2]: Entering directory '/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build' cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build && /gnu/store/d5c8i3b549r412lkhddggyfx9mqdphs7-cmake-minimal-3.31.10/bin/cmake -E cmake_depends "Unix Makefiles" /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/ice40 /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/ice40 /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/ice40/CMakeFiles/nextpnr-ice40-test.dir/DependInfo.cmake "--color=" make[2]: Leaving directory '/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build' make[2]: Leaving directory '/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build' /gnu/store/mx9i9dnjx34lkx8k8r79876q2f5dclvr-make-4.4.1/bin/make -f ice40/CMakeFiles/nextpnr-ice40-test.dir/build.make ice40/CMakeFiles/nextpnr-ice40-test.dir/build /gnu/store/mx9i9dnjx34lkx8k8r79876q2f5dclvr-make-4.4.1/bin/make -f ice40/CMakeFiles/nextpnr-ice40.dir/build.make ice40/CMakeFiles/nextpnr-ice40.dir/build make[2]: Entering directory '/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build' make[2]: Entering directory '/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build' [ 47%] Building CXX object ice40/CMakeFiles/nextpnr-ice40.dir/main.cc.o [ 47%] Building CXX object ice40/CMakeFiles/nextpnr-ice40-test.dir/tests/hx8k.cc.o [ 47%] Building CXX object ice40/CMakeFiles/nextpnr-ice40-test.dir/tests/hx1k.cc.o [ 47%] Building CXX object ice40/CMakeFiles/nextpnr-ice40-test.dir/tests/lp1k.cc.o [ 47%] Building CXX object ice40/CMakeFiles/nextpnr-ice40.dir/arch.cc.o [ 47%] Building CXX object ice40/CMakeFiles/nextpnr-ice40.dir/arch_place.cc.o [ 47%] Building CXX object ice40/CMakeFiles/nextpnr-ice40-test.dir/tests/lp384.cc.o [ 47%] Building CXX object ice40/CMakeFiles/nextpnr-ice40.dir/arch_pybindings.cc.o [ 47%] Building CXX object ice40/CMakeFiles/nextpnr-ice40-test.dir/tests/lp8k.cc.o [ 47%] Building CXX object ice40/CMakeFiles/nextpnr-ice40-test.dir/tests/main.cc.o cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/ice40 && /gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DNO_RUST -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -Dnextpnr_ice40_EXPORTS -I/gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/include/python3.11 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/ice40 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/common -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/frontend/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/json/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/rust/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/json11/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/place/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/route/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/oourafft/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/ice40 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui -isystem /gnu/store/r94kayv6y93mj1hgc13k11klha366dsw-eigen-3.4.0/include/eigen3 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtWidgets -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtGui -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtCore -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/lib/qt5/mkspecs/linux-g++ -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -fdiagnostics-color=always -fPIC -MD -MT ice40/CMakeFiles/nextpnr-ice40.dir/main.cc.o -MF CMakeFiles/nextpnr-ice40.dir/main.cc.o.d -o CMakeFiles/nextpnr-ice40.dir/main.cc.o -c /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/ice40/main.cc [ 47%] Building CXX object ice40/CMakeFiles/nextpnr-ice40-test.dir/tests/up5k.cc.o cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/ice40 && /gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DGTEST_LINKED_AS_SHARED_LIBRARY=1 -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DNO_RUST -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -Dnextpnr_ice40_test_EXPORTS -I/gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/include/python3.11 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/ice40/gtest_include_dir -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/ice40 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/common -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/frontend/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/json/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/rust/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/json11/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/place/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/route/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/oourafft/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/ice40 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui -isystem /gnu/store/r94kayv6y93mj1hgc13k11klha366dsw-eigen-3.4.0/include/eigen3 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtWidgets -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtGui -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtCore -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/lib/qt5/mkspecs/linux-g++ -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -fdiagnostics-color=always -fPIC -MD -MT ice40/CMakeFiles/nextpnr-ice40-test.dir/tests/hx1k.cc.o -MF CMakeFiles/nextpnr-ice40-test.dir/tests/hx1k.cc.o.d -o CMakeFiles/nextpnr-ice40-test.dir/tests/hx1k.cc.o -c /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/ice40/tests/hx1k.cc cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/ice40 && /gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DNO_RUST -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -Dnextpnr_ice40_EXPORTS -I/gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/include/python3.11 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/ice40 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/common -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/frontend/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/json/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/rust/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/json11/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/place/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/route/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/oourafft/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/ice40 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui -isystem /gnu/store/r94kayv6y93mj1hgc13k11klha366dsw-eigen-3.4.0/include/eigen3 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtWidgets -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtGui -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtCore -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/lib/qt5/mkspecs/linux-g++ -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -fdiagnostics-color=always -fPIC -MD -MT ice40/CMakeFiles/nextpnr-ice40.dir/arch.cc.o -MF CMakeFiles/nextpnr-ice40.dir/arch.cc.o.d -o CMakeFiles/nextpnr-ice40.dir/arch.cc.o -c /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/ice40/arch.cc [ 47%] Building CXX object ice40/CMakeFiles/nextpnr-ice40.dir/bitstream.cc.o cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/ice40 && /gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DNO_RUST -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -Dnextpnr_ice40_EXPORTS -I/gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/include/python3.11 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/ice40 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/common -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/frontend/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/json/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/rust/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/json11/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/place/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/route/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/oourafft/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/ice40 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui -isystem /gnu/store/r94kayv6y93mj1hgc13k11klha366dsw-eigen-3.4.0/include/eigen3 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtWidgets -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtGui -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtCore -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/lib/qt5/mkspecs/linux-g++ -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -fdiagnostics-color=always -fPIC -MD -MT ice40/CMakeFiles/nextpnr-ice40.dir/arch_place.cc.o -MF CMakeFiles/nextpnr-ice40.dir/arch_place.cc.o.d -o CMakeFiles/nextpnr-ice40.dir/arch_place.cc.o -c /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/ice40/arch_place.cc cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/ice40 && /gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DGTEST_LINKED_AS_SHARED_LIBRARY=1 -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DNO_RUST -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -Dnextpnr_ice40_test_EXPORTS -I/gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/include/python3.11 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/ice40/gtest_include_dir -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/ice40 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/common -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/frontend/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/json/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/rust/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/json11/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/place/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/route/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/oourafft/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/ice40 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui -isystem /gnu/store/r94kayv6y93mj1hgc13k11klha366dsw-eigen-3.4.0/include/eigen3 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtWidgets -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtGui -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtCore -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/lib/qt5/mkspecs/linux-g++ -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -fdiagnostics-color=always -fPIC -MD -MT ice40/CMakeFiles/nextpnr-ice40-test.dir/tests/hx8k.cc.o -MF CMakeFiles/nextpnr-ice40-test.dir/tests/hx8k.cc.o.d -o CMakeFiles/nextpnr-ice40-test.dir/tests/hx8k.cc.o -c /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/ice40/tests/hx8k.cc cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/ice40 && /gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DNO_RUST -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -Dnextpnr_ice40_EXPORTS -I/gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/include/python3.11 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/ice40 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/common -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/frontend/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/json/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/rust/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/json11/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/place/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/route/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/oourafft/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/ice40 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui -isystem /gnu/store/r94kayv6y93mj1hgc13k11klha366dsw-eigen-3.4.0/include/eigen3 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtWidgets -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtGui -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtCore -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/lib/qt5/mkspecs/linux-g++ -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -fdiagnostics-color=always -fPIC -MD -MT ice40/CMakeFiles/nextpnr-ice40.dir/arch_pybindings.cc.o -MF CMakeFiles/nextpnr-ice40.dir/arch_pybindings.cc.o.d -o CMakeFiles/nextpnr-ice40.dir/arch_pybindings.cc.o -c /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/ice40/arch_pybindings.cc cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/ice40 && /gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DGTEST_LINKED_AS_SHARED_LIBRARY=1 -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DNO_RUST -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -Dnextpnr_ice40_test_EXPORTS -I/gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/include/python3.11 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/ice40/gtest_include_dir -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/ice40 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/common -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/frontend/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/json/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/rust/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/json11/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/place/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/route/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/oourafft/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/ice40 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui -isystem /gnu/store/r94kayv6y93mj1hgc13k11klha366dsw-eigen-3.4.0/include/eigen3 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtWidgets -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtGui -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtCore -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/lib/qt5/mkspecs/linux-g++ -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -fdiagnostics-color=always -fPIC -MD -MT ice40/CMakeFiles/nextpnr-ice40-test.dir/tests/lp1k.cc.o -MF CMakeFiles/nextpnr-ice40-test.dir/tests/lp1k.cc.o.d -o CMakeFiles/nextpnr-ice40-test.dir/tests/lp1k.cc.o -c /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/ice40/tests/lp1k.cc [ 48%] Building CXX object ice40/CMakeFiles/nextpnr-ice40.dir/chains.cc.o cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/ice40 && /gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DGTEST_LINKED_AS_SHARED_LIBRARY=1 -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DNO_RUST -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -Dnextpnr_ice40_test_EXPORTS -I/gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/include/python3.11 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/ice40/gtest_include_dir -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/ice40 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/common -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/frontend/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/json/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/rust/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/json11/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/place/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/route/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/oourafft/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/ice40 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui -isystem /gnu/store/r94kayv6y93mj1hgc13k11klha366dsw-eigen-3.4.0/include/eigen3 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtWidgets -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtGui -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtCore -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/lib/qt5/mkspecs/linux-g++ -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -fdiagnostics-color=always -fPIC -MD -MT ice40/CMakeFiles/nextpnr-ice40-test.dir/tests/lp384.cc.o -MF CMakeFiles/nextpnr-ice40-test.dir/tests/lp384.cc.o.d -o CMakeFiles/nextpnr-ice40-test.dir/tests/lp384.cc.o -c /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/ice40/tests/lp384.cc [ 50%] Building CXX object ice40/CMakeFiles/nextpnr-ice40-test.dir/arch.cc.o [ 50%] Building CXX object ice40/CMakeFiles/nextpnr-ice40-test.dir/arch_place.cc.o cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/ice40 && /gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DGTEST_LINKED_AS_SHARED_LIBRARY=1 -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DNO_RUST -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -Dnextpnr_ice40_test_EXPORTS -I/gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/include/python3.11 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/ice40/gtest_include_dir -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/ice40 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/common -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/frontend/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/json/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/rust/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/json11/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/place/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/route/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/oourafft/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/ice40 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui -isystem /gnu/store/r94kayv6y93mj1hgc13k11klha366dsw-eigen-3.4.0/include/eigen3 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtWidgets -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtGui -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtCore -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/lib/qt5/mkspecs/linux-g++ -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -fdiagnostics-color=always -fPIC -MD -MT ice40/CMakeFiles/nextpnr-ice40-test.dir/tests/lp8k.cc.o -MF CMakeFiles/nextpnr-ice40-test.dir/tests/lp8k.cc.o.d -o CMakeFiles/nextpnr-ice40-test.dir/tests/lp8k.cc.o -c /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/ice40/tests/lp8k.cc [ 50%] Building CXX object ice40/CMakeFiles/nextpnr-ice40.dir/cells.cc.o cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/ice40 && /gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DGTEST_LINKED_AS_SHARED_LIBRARY=1 -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DNO_RUST -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -Dnextpnr_ice40_test_EXPORTS -I/gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/include/python3.11 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/ice40/gtest_include_dir -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/ice40 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/common -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/frontend/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/json/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/rust/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/json11/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/place/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/route/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/oourafft/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/ice40 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui -isystem /gnu/store/r94kayv6y93mj1hgc13k11klha366dsw-eigen-3.4.0/include/eigen3 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtWidgets -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtGui -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtCore -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/lib/qt5/mkspecs/linux-g++ -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -fdiagnostics-color=always -fPIC -MD -MT ice40/CMakeFiles/nextpnr-ice40-test.dir/tests/main.cc.o -MF CMakeFiles/nextpnr-ice40-test.dir/tests/main.cc.o.d -o CMakeFiles/nextpnr-ice40-test.dir/tests/main.cc.o -c /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/ice40/tests/main.cc cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/ice40 && /gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DNO_RUST -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -Dnextpnr_ice40_EXPORTS -I/gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/include/python3.11 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/ice40 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/common -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/frontend/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/json/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/rust/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/json11/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/place/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/route/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/oourafft/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/ice40 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui -isystem /gnu/store/r94kayv6y93mj1hgc13k11klha366dsw-eigen-3.4.0/include/eigen3 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtWidgets -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtGui -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtCore -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/lib/qt5/mkspecs/linux-g++ -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -fdiagnostics-color=always -fPIC -MD -MT ice40/CMakeFiles/nextpnr-ice40.dir/bitstream.cc.o -MF CMakeFiles/nextpnr-ice40.dir/bitstream.cc.o.d -o CMakeFiles/nextpnr-ice40.dir/bitstream.cc.o -c /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/ice40/bitstream.cc cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/ice40 && /gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DGTEST_LINKED_AS_SHARED_LIBRARY=1 -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DNO_RUST -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -Dnextpnr_ice40_test_EXPORTS -I/gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/include/python3.11 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/ice40/gtest_include_dir -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/ice40 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/common -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/frontend/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/json/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/rust/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/json11/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/place/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/route/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/oourafft/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/ice40 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui -isystem /gnu/store/r94kayv6y93mj1hgc13k11klha366dsw-eigen-3.4.0/include/eigen3 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtWidgets -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtGui -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtCore -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/lib/qt5/mkspecs/linux-g++ -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -fdiagnostics-color=always -fPIC -MD -MT ice40/CMakeFiles/nextpnr-ice40-test.dir/tests/up5k.cc.o -MF CMakeFiles/nextpnr-ice40-test.dir/tests/up5k.cc.o.d -o CMakeFiles/nextpnr-ice40-test.dir/tests/up5k.cc.o -c /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/ice40/tests/up5k.cc [ 50%] Building CXX object ice40/CMakeFiles/nextpnr-ice40-test.dir/bitstream.cc.o [ 50%] Building CXX object ice40/CMakeFiles/nextpnr-ice40-test.dir/arch_pybindings.cc.o [ 50%] Building CXX object ice40/CMakeFiles/nextpnr-ice40.dir/delay.cc.o [ 50%] Building CXX object ice40/CMakeFiles/nextpnr-ice40.dir/gfx.cc.o [ 50%] Building CXX object ice40/CMakeFiles/nextpnr-ice40.dir/pcf.cc.o cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/ice40 && /gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DNO_RUST -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -Dnextpnr_ice40_EXPORTS -I/gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/include/python3.11 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/ice40 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/common -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/frontend/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/json/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/rust/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/json11/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/place/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/route/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/oourafft/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/ice40 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui -isystem /gnu/store/r94kayv6y93mj1hgc13k11klha366dsw-eigen-3.4.0/include/eigen3 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtWidgets -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtGui -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtCore -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/lib/qt5/mkspecs/linux-g++ -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -fdiagnostics-color=always -fPIC -MD -MT ice40/CMakeFiles/nextpnr-ice40.dir/chains.cc.o -MF CMakeFiles/nextpnr-ice40.dir/chains.cc.o.d -o CMakeFiles/nextpnr-ice40.dir/chains.cc.o -c /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/ice40/chains.cc cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/ice40 && /gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DGTEST_LINKED_AS_SHARED_LIBRARY=1 -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DNO_RUST -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -Dnextpnr_ice40_test_EXPORTS -I/gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/include/python3.11 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/ice40/gtest_include_dir -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/ice40 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/common -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/frontend/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/json/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/rust/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/json11/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/place/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/route/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/oourafft/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/ice40 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui -isystem /gnu/store/r94kayv6y93mj1hgc13k11klha366dsw-eigen-3.4.0/include/eigen3 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtWidgets -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtGui -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtCore -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/lib/qt5/mkspecs/linux-g++ -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -fdiagnostics-color=always -fPIC -MD -MT ice40/CMakeFiles/nextpnr-ice40-test.dir/arch.cc.o -MF CMakeFiles/nextpnr-ice40-test.dir/arch.cc.o.d -o CMakeFiles/nextpnr-ice40-test.dir/arch.cc.o -c /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/ice40/arch.cc [ 50%] Building CXX object ice40/CMakeFiles/nextpnr-ice40.dir/pack.cc.o [ 51%] Building CXX object ice40/CMakeFiles/nextpnr-ice40.dir/__/common/kernel/context.cc.o [ 51%] Building CXX object ice40/CMakeFiles/nextpnr-ice40-test.dir/cells.cc.o cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/ice40 && /gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DGTEST_LINKED_AS_SHARED_LIBRARY=1 -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DNO_RUST -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -Dnextpnr_ice40_test_EXPORTS -I/gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/include/python3.11 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/ice40/gtest_include_dir -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/ice40 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/common -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/frontend/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/json/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/rust/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/json11/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/place/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/route/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/oourafft/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/ice40 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui -isystem /gnu/store/r94kayv6y93mj1hgc13k11klha366dsw-eigen-3.4.0/include/eigen3 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtWidgets -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtGui -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtCore -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/lib/qt5/mkspecs/linux-g++ -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -fdiagnostics-color=always -fPIC -MD -MT ice40/CMakeFiles/nextpnr-ice40-test.dir/arch_place.cc.o -MF CMakeFiles/nextpnr-ice40-test.dir/arch_place.cc.o.d -o CMakeFiles/nextpnr-ice40-test.dir/arch_place.cc.o -c /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/ice40/arch_place.cc cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/ice40 && /gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DNO_RUST -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -Dnextpnr_ice40_EXPORTS -I/gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/include/python3.11 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/ice40 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/common -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/frontend/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/json/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/rust/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/json11/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/place/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/route/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/oourafft/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/ice40 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui -isystem /gnu/store/r94kayv6y93mj1hgc13k11klha366dsw-eigen-3.4.0/include/eigen3 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtWidgets -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtGui -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtCore -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/lib/qt5/mkspecs/linux-g++ -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -fdiagnostics-color=always -fPIC -MD -MT ice40/CMakeFiles/nextpnr-ice40.dir/cells.cc.o -MF CMakeFiles/nextpnr-ice40.dir/cells.cc.o.d -o CMakeFiles/nextpnr-ice40.dir/cells.cc.o -c /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/ice40/cells.cc [ 51%] Building CXX object ice40/CMakeFiles/nextpnr-ice40.dir/__/common/kernel/archcheck.cc.o cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/ice40 && /gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DNO_RUST -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -Dnextpnr_ice40_EXPORTS -I/gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/include/python3.11 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/ice40 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/common -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/frontend/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/json/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/rust/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/json11/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/place/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/route/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/oourafft/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/ice40 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui -isystem /gnu/store/r94kayv6y93mj1hgc13k11klha366dsw-eigen-3.4.0/include/eigen3 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtWidgets -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtGui -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtCore -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/lib/qt5/mkspecs/linux-g++ -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -fdiagnostics-color=always -fPIC -MD -MT ice40/CMakeFiles/nextpnr-ice40.dir/delay.cc.o -MF CMakeFiles/nextpnr-ice40.dir/delay.cc.o.d -o CMakeFiles/nextpnr-ice40.dir/delay.cc.o -c /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/ice40/delay.cc [ 51%] Building CXX object ice40/CMakeFiles/nextpnr-ice40-test.dir/chains.cc.o cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/ice40 && /gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DGTEST_LINKED_AS_SHARED_LIBRARY=1 -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DNO_RUST -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -Dnextpnr_ice40_test_EXPORTS -I/gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/include/python3.11 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/ice40/gtest_include_dir -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/ice40 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/common -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/frontend/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/json/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/rust/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/json11/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/place/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/route/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/oourafft/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/ice40 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui -isystem /gnu/store/r94kayv6y93mj1hgc13k11klha366dsw-eigen-3.4.0/include/eigen3 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtWidgets -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtGui -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtCore -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/lib/qt5/mkspecs/linux-g++ -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -fdiagnostics-color=always -fPIC -MD -MT ice40/CMakeFiles/nextpnr-ice40-test.dir/arch_pybindings.cc.o -MF CMakeFiles/nextpnr-ice40-test.dir/arch_pybindings.cc.o.d -o CMakeFiles/nextpnr-ice40-test.dir/arch_pybindings.cc.o -c /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/ice40/arch_pybindings.cc cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/ice40 && /gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DNO_RUST -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -Dnextpnr_ice40_EXPORTS -I/gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/include/python3.11 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/ice40 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/common -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/frontend/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/json/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/rust/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/json11/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/place/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/route/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/oourafft/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/ice40 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui -isystem /gnu/store/r94kayv6y93mj1hgc13k11klha366dsw-eigen-3.4.0/include/eigen3 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtWidgets -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtGui -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtCore -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/lib/qt5/mkspecs/linux-g++ -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -fdiagnostics-color=always -fPIC -MD -MT ice40/CMakeFiles/nextpnr-ice40.dir/gfx.cc.o -MF CMakeFiles/nextpnr-ice40.dir/gfx.cc.o.d -o CMakeFiles/nextpnr-ice40.dir/gfx.cc.o -c /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/ice40/gfx.cc cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/ice40 && /gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DGTEST_LINKED_AS_SHARED_LIBRARY=1 -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DNO_RUST -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -Dnextpnr_ice40_test_EXPORTS -I/gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/include/python3.11 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/ice40/gtest_include_dir -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/ice40 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/common -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/frontend/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/json/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/rust/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/json11/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/place/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/route/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/oourafft/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/ice40 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui -isystem /gnu/store/r94kayv6y93mj1hgc13k11klha366dsw-eigen-3.4.0/include/eigen3 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtWidgets -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtGui -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtCore -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/lib/qt5/mkspecs/linux-g++ -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -fdiagnostics-color=always -fPIC -MD -MT ice40/CMakeFiles/nextpnr-ice40-test.dir/bitstream.cc.o -MF CMakeFiles/nextpnr-ice40-test.dir/bitstream.cc.o.d -o CMakeFiles/nextpnr-ice40-test.dir/bitstream.cc.o -c /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/ice40/bitstream.cc cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/ice40 && /gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DNO_RUST -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -Dnextpnr_ice40_EXPORTS -I/gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/include/python3.11 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/ice40 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/common -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/frontend/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/json/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/rust/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/json11/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/place/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/route/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/oourafft/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/ice40 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui -isystem /gnu/store/r94kayv6y93mj1hgc13k11klha366dsw-eigen-3.4.0/include/eigen3 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtWidgets -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtGui -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtCore -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/lib/qt5/mkspecs/linux-g++ -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -fdiagnostics-color=always -fPIC -MD -MT ice40/CMakeFiles/nextpnr-ice40.dir/pcf.cc.o -MF CMakeFiles/nextpnr-ice40.dir/pcf.cc.o.d -o CMakeFiles/nextpnr-ice40.dir/pcf.cc.o -c /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/ice40/pcf.cc cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/ice40 && /gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DNO_RUST -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -Dnextpnr_ice40_EXPORTS -I/gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/include/python3.11 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/ice40 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/common -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/frontend/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/json/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/rust/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/json11/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/place/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/route/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/oourafft/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/ice40 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui -isystem /gnu/store/r94kayv6y93mj1hgc13k11klha366dsw-eigen-3.4.0/include/eigen3 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtWidgets -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtGui -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtCore -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/lib/qt5/mkspecs/linux-g++ -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -fdiagnostics-color=always -fPIC -MD -MT ice40/CMakeFiles/nextpnr-ice40.dir/pack.cc.o -MF CMakeFiles/nextpnr-ice40.dir/pack.cc.o.d -o CMakeFiles/nextpnr-ice40.dir/pack.cc.o -c /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/ice40/pack.cc [ 51%] Building CXX object ice40/CMakeFiles/nextpnr-ice40-test.dir/delay.cc.o [ 51%] Building CXX object ice40/CMakeFiles/nextpnr-ice40-test.dir/gfx.cc.o cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/ice40 && /gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DGTEST_LINKED_AS_SHARED_LIBRARY=1 -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DNO_RUST -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -Dnextpnr_ice40_test_EXPORTS -I/gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/include/python3.11 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/ice40/gtest_include_dir -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/ice40 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/common -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/frontend/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/json/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/rust/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/json11/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/place/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/route/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/oourafft/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/ice40 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui -isystem /gnu/store/r94kayv6y93mj1hgc13k11klha366dsw-eigen-3.4.0/include/eigen3 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtWidgets -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtGui -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtCore -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/lib/qt5/mkspecs/linux-g++ -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -fdiagnostics-color=always -fPIC -MD -MT ice40/CMakeFiles/nextpnr-ice40-test.dir/cells.cc.o -MF CMakeFiles/nextpnr-ice40-test.dir/cells.cc.o.d -o CMakeFiles/nextpnr-ice40-test.dir/cells.cc.o -c /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/ice40/cells.cc cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/ice40 && /gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DNO_RUST -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -Dnextpnr_ice40_EXPORTS -I/gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/include/python3.11 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/ice40 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/common -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/frontend/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/json/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/rust/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/json11/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/place/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/route/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/oourafft/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/ice40 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui -isystem /gnu/store/r94kayv6y93mj1hgc13k11klha366dsw-eigen-3.4.0/include/eigen3 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtWidgets -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtGui -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtCore -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/lib/qt5/mkspecs/linux-g++ -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -fdiagnostics-color=always -fPIC -MD -MT ice40/CMakeFiles/nextpnr-ice40.dir/__/common/kernel/context.cc.o -MF CMakeFiles/nextpnr-ice40.dir/__/common/kernel/context.cc.o.d -o CMakeFiles/nextpnr-ice40.dir/__/common/kernel/context.cc.o -c /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/context.cc [ 51%] Building CXX object ice40/CMakeFiles/nextpnr-ice40-test.dir/__/common/kernel/basectx.cc.o cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/ice40 && /gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DNO_RUST -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -Dnextpnr_ice40_EXPORTS -I/gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/include/python3.11 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/ice40 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/common -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/frontend/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/json/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/rust/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/json11/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/place/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/route/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/oourafft/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/ice40 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui -isystem /gnu/store/r94kayv6y93mj1hgc13k11klha366dsw-eigen-3.4.0/include/eigen3 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtWidgets -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtGui -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtCore -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/lib/qt5/mkspecs/linux-g++ -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -fdiagnostics-color=always -fPIC -MD -MT ice40/CMakeFiles/nextpnr-ice40.dir/__/common/kernel/archcheck.cc.o -MF CMakeFiles/nextpnr-ice40.dir/__/common/kernel/archcheck.cc.o.d -o CMakeFiles/nextpnr-ice40.dir/__/common/kernel/archcheck.cc.o -c /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/archcheck.cc [ 51%] Building CXX object ice40/CMakeFiles/nextpnr-ice40-test.dir/pcf.cc.o [ 52%] Building CXX object ice40/CMakeFiles/nextpnr-ice40.dir/__/common/kernel/command.cc.o [ 52%] Building CXX object ice40/CMakeFiles/nextpnr-ice40-test.dir/__/common/kernel/archcheck.cc.o [ 52%] Building CXX object ice40/CMakeFiles/nextpnr-ice40.dir/__/common/kernel/design_utils.cc.o [ 52%] Building CXX object ice40/CMakeFiles/nextpnr-ice40.dir/__/common/kernel/basectx.cc.o cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/ice40 && /gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DGTEST_LINKED_AS_SHARED_LIBRARY=1 -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DNO_RUST -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -Dnextpnr_ice40_test_EXPORTS -I/gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/include/python3.11 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/ice40/gtest_include_dir -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/ice40 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/common -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/frontend/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/json/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/rust/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/json11/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/place/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/route/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/oourafft/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/ice40 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui -isystem /gnu/store/r94kayv6y93mj1hgc13k11klha366dsw-eigen-3.4.0/include/eigen3 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtWidgets -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtGui -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtCore -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/lib/qt5/mkspecs/linux-g++ -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -fdiagnostics-color=always -fPIC -MD -MT ice40/CMakeFiles/nextpnr-ice40-test.dir/chains.cc.o -MF CMakeFiles/nextpnr-ice40-test.dir/chains.cc.o.d -o CMakeFiles/nextpnr-ice40-test.dir/chains.cc.o -c /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/ice40/chains.cc [ 52%] Building CXX object ice40/CMakeFiles/nextpnr-ice40.dir/__/common/kernel/bits.cc.o cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/ice40 && /gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DGTEST_LINKED_AS_SHARED_LIBRARY=1 -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DNO_RUST -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -Dnextpnr_ice40_test_EXPORTS -I/gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/include/python3.11 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/ice40/gtest_include_dir -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/ice40 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/common -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/frontend/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/json/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/rust/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/json11/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/place/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/route/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/oourafft/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/ice40 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui -isystem /gnu/store/r94kayv6y93mj1hgc13k11klha366dsw-eigen-3.4.0/include/eigen3 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtWidgets -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtGui -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtCore -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/lib/qt5/mkspecs/linux-g++ -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -fdiagnostics-color=always -fPIC -MD -MT ice40/CMakeFiles/nextpnr-ice40-test.dir/delay.cc.o -MF CMakeFiles/nextpnr-ice40-test.dir/delay.cc.o.d -o CMakeFiles/nextpnr-ice40-test.dir/delay.cc.o -c /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/ice40/delay.cc [ 52%] Building CXX object ice40/CMakeFiles/nextpnr-ice40.dir/__/common/kernel/embed.cc.o [ 52%] Building CXX object ice40/CMakeFiles/nextpnr-ice40-test.dir/__/common/kernel/command.cc.o [ 52%] Building CXX object ice40/CMakeFiles/nextpnr-ice40-test.dir/__/common/kernel/bits.cc.o cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/ice40 && /gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DGTEST_LINKED_AS_SHARED_LIBRARY=1 -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DNO_RUST -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -Dnextpnr_ice40_test_EXPORTS -I/gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/include/python3.11 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/ice40/gtest_include_dir -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/ice40 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/common -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/frontend/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/json/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/rust/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/json11/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/place/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/route/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/oourafft/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/ice40 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui -isystem /gnu/store/r94kayv6y93mj1hgc13k11klha366dsw-eigen-3.4.0/include/eigen3 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtWidgets -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtGui -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtCore -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/lib/qt5/mkspecs/linux-g++ -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -fdiagnostics-color=always -fPIC -MD -MT ice40/CMakeFiles/nextpnr-ice40-test.dir/gfx.cc.o -MF CMakeFiles/nextpnr-ice40-test.dir/gfx.cc.o.d -o CMakeFiles/nextpnr-ice40-test.dir/gfx.cc.o -c /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/ice40/gfx.cc [ 52%] Building CXX object ice40/CMakeFiles/nextpnr-ice40-test.dir/__/common/kernel/context.cc.o [ 52%] Building CXX object ice40/CMakeFiles/nextpnr-ice40.dir/__/common/kernel/handle_error.cc.o cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/ice40 && /gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DNO_RUST -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -Dnextpnr_ice40_EXPORTS -I/gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/include/python3.11 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/ice40 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/common -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/frontend/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/json/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/rust/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/json11/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/place/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/route/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/oourafft/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/ice40 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui -isystem /gnu/store/r94kayv6y93mj1hgc13k11klha366dsw-eigen-3.4.0/include/eigen3 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtWidgets -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtGui -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtCore -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/lib/qt5/mkspecs/linux-g++ -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -fdiagnostics-color=always -fPIC -MD -MT ice40/CMakeFiles/nextpnr-ice40.dir/__/common/kernel/command.cc.o -MF CMakeFiles/nextpnr-ice40.dir/__/common/kernel/command.cc.o.d -o CMakeFiles/nextpnr-ice40.dir/__/common/kernel/command.cc.o -c /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/command.cc cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/ice40 && /gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DNO_RUST -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -Dnextpnr_ice40_EXPORTS -I/gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/include/python3.11 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/ice40 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/common -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/frontend/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/json/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/rust/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/json11/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/place/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/route/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/oourafft/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/ice40 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui -isystem /gnu/store/r94kayv6y93mj1hgc13k11klha366dsw-eigen-3.4.0/include/eigen3 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtWidgets -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtGui -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtCore -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/lib/qt5/mkspecs/linux-g++ -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -fdiagnostics-color=always -fPIC -MD -MT ice40/CMakeFiles/nextpnr-ice40.dir/__/common/kernel/design_utils.cc.o -MF CMakeFiles/nextpnr-ice40.dir/__/common/kernel/design_utils.cc.o.d -o CMakeFiles/nextpnr-ice40.dir/__/common/kernel/design_utils.cc.o -c /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/design_utils.cc cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/ice40 && /gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DGTEST_LINKED_AS_SHARED_LIBRARY=1 -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DNO_RUST -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -Dnextpnr_ice40_test_EXPORTS -I/gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/include/python3.11 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/ice40/gtest_include_dir -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/ice40 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/common -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/frontend/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/json/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/rust/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/json11/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/place/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/route/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/oourafft/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/ice40 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui -isystem /gnu/store/r94kayv6y93mj1hgc13k11klha366dsw-eigen-3.4.0/include/eigen3 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtWidgets -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtGui -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtCore -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/lib/qt5/mkspecs/linux-g++ -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -fdiagnostics-color=always -fPIC -MD -MT ice40/CMakeFiles/nextpnr-ice40-test.dir/pcf.cc.o -MF CMakeFiles/nextpnr-ice40-test.dir/pcf.cc.o.d -o CMakeFiles/nextpnr-ice40-test.dir/pcf.cc.o -c /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/ice40/pcf.cc [ 52%] Building CXX object ice40/CMakeFiles/nextpnr-ice40.dir/__/common/kernel/idstring.cc.o cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/ice40 && /gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DNO_RUST -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -Dnextpnr_ice40_EXPORTS -I/gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/include/python3.11 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/ice40 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/common -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/frontend/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/json/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/rust/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/json11/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/place/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/route/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/oourafft/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/ice40 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui -isystem /gnu/store/r94kayv6y93mj1hgc13k11klha366dsw-eigen-3.4.0/include/eigen3 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtWidgets -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtGui -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtCore -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/lib/qt5/mkspecs/linux-g++ -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -fdiagnostics-color=always -fPIC -MD -MT ice40/CMakeFiles/nextpnr-ice40.dir/__/common/kernel/basectx.cc.o -MF CMakeFiles/nextpnr-ice40.dir/__/common/kernel/basectx.cc.o.d -o CMakeFiles/nextpnr-ice40.dir/__/common/kernel/basectx.cc.o -c /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/basectx.cc cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/ice40 && /gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DGTEST_LINKED_AS_SHARED_LIBRARY=1 -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DNO_RUST -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -Dnextpnr_ice40_test_EXPORTS -I/gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/include/python3.11 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/ice40/gtest_include_dir -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/ice40 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/common -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/frontend/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/json/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/rust/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/json11/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/place/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/route/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/oourafft/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/ice40 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui -isystem /gnu/store/r94kayv6y93mj1hgc13k11klha366dsw-eigen-3.4.0/include/eigen3 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtWidgets -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtGui -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtCore -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/lib/qt5/mkspecs/linux-g++ -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -fdiagnostics-color=always -fPIC -MD -MT ice40/CMakeFiles/nextpnr-ice40-test.dir/__/common/kernel/archcheck.cc.o -MF CMakeFiles/nextpnr-ice40-test.dir/__/common/kernel/archcheck.cc.o.d -o CMakeFiles/nextpnr-ice40-test.dir/__/common/kernel/archcheck.cc.o -c /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/archcheck.cc cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/ice40 && /gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DNO_RUST -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -Dnextpnr_ice40_EXPORTS -I/gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/include/python3.11 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/ice40 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/common -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/frontend/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/json/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/rust/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/json11/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/place/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/route/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/oourafft/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/ice40 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui -isystem /gnu/store/r94kayv6y93mj1hgc13k11klha366dsw-eigen-3.4.0/include/eigen3 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtWidgets -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtGui -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtCore -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/lib/qt5/mkspecs/linux-g++ -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -fdiagnostics-color=always -fPIC -MD -MT ice40/CMakeFiles/nextpnr-ice40.dir/__/common/kernel/bits.cc.o -MF CMakeFiles/nextpnr-ice40.dir/__/common/kernel/bits.cc.o.d -o CMakeFiles/nextpnr-ice40.dir/__/common/kernel/bits.cc.o -c /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/bits.cc cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/ice40 && /gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DGTEST_LINKED_AS_SHARED_LIBRARY=1 -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DNO_RUST -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -Dnextpnr_ice40_test_EXPORTS -I/gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/include/python3.11 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/ice40/gtest_include_dir -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/ice40 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/common -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/frontend/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/json/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/rust/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/json11/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/place/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/route/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/oourafft/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/ice40 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui -isystem /gnu/store/r94kayv6y93mj1hgc13k11klha366dsw-eigen-3.4.0/include/eigen3 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtWidgets -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtGui -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtCore -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/lib/qt5/mkspecs/linux-g++ -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -fdiagnostics-color=always -fPIC -MD -MT ice40/CMakeFiles/nextpnr-ice40-test.dir/__/common/kernel/basectx.cc.o -MF CMakeFiles/nextpnr-ice40-test.dir/__/common/kernel/basectx.cc.o.d -o CMakeFiles/nextpnr-ice40-test.dir/__/common/kernel/basectx.cc.o -c /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/basectx.cc [ 52%] Building CXX object ice40/CMakeFiles/nextpnr-ice40.dir/__/common/kernel/idstringlist.cc.o [ 52%] Building CXX object ice40/CMakeFiles/nextpnr-ice40-test.dir/__/common/kernel/design_utils.cc.o [ 52%] Building CXX object ice40/CMakeFiles/nextpnr-ice40-test.dir/pack.cc.o cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/ice40 && /gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DGTEST_LINKED_AS_SHARED_LIBRARY=1 -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DNO_RUST -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -Dnextpnr_ice40_test_EXPORTS -I/gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/include/python3.11 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/ice40/gtest_include_dir -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/ice40 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/common -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/frontend/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/json/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/rust/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/json11/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/place/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/route/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/oourafft/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/ice40 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui -isystem /gnu/store/r94kayv6y93mj1hgc13k11klha366dsw-eigen-3.4.0/include/eigen3 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtWidgets -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtGui -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtCore -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/lib/qt5/mkspecs/linux-g++ -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -fdiagnostics-color=always -fPIC -MD -MT ice40/CMakeFiles/nextpnr-ice40-test.dir/__/common/kernel/bits.cc.o -MF CMakeFiles/nextpnr-ice40-test.dir/__/common/kernel/bits.cc.o.d -o CMakeFiles/nextpnr-ice40-test.dir/__/common/kernel/bits.cc.o -c /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/bits.cc cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/ice40 && /gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DGTEST_LINKED_AS_SHARED_LIBRARY=1 -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DNO_RUST -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -Dnextpnr_ice40_test_EXPORTS -I/gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/include/python3.11 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/ice40/gtest_include_dir -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/ice40 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/common -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/frontend/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/json/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/rust/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/json11/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/place/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/route/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/oourafft/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/ice40 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui -isystem /gnu/store/r94kayv6y93mj1hgc13k11klha366dsw-eigen-3.4.0/include/eigen3 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtWidgets -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtGui -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtCore -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/lib/qt5/mkspecs/linux-g++ -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -fdiagnostics-color=always -fPIC -MD -MT ice40/CMakeFiles/nextpnr-ice40-test.dir/__/common/kernel/command.cc.o -MF CMakeFiles/nextpnr-ice40-test.dir/__/common/kernel/command.cc.o.d -o CMakeFiles/nextpnr-ice40-test.dir/__/common/kernel/command.cc.o -c /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/command.cc [ 52%] Building CXX object ice40/CMakeFiles/nextpnr-ice40-test.dir/__/common/kernel/handle_error.cc.o [ 52%] Building CXX object ice40/CMakeFiles/nextpnr-ice40.dir/__/common/kernel/nextpnr.cc.o [ 52%] Building CXX object ice40/CMakeFiles/nextpnr-ice40.dir/__/common/kernel/log.cc.o cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/ice40 && /gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DGTEST_LINKED_AS_SHARED_LIBRARY=1 -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DNO_RUST -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -Dnextpnr_ice40_test_EXPORTS -I/gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/include/python3.11 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/ice40/gtest_include_dir -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/ice40 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/common -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/frontend/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/json/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/rust/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/json11/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/place/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/route/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/oourafft/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/ice40 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui -isystem /gnu/store/r94kayv6y93mj1hgc13k11klha366dsw-eigen-3.4.0/include/eigen3 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtWidgets -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtGui -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtCore -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/lib/qt5/mkspecs/linux-g++ -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -fdiagnostics-color=always -fPIC -MD -MT ice40/CMakeFiles/nextpnr-ice40-test.dir/__/common/kernel/context.cc.o -MF CMakeFiles/nextpnr-ice40-test.dir/__/common/kernel/context.cc.o.d -o CMakeFiles/nextpnr-ice40-test.dir/__/common/kernel/context.cc.o -c /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/context.cc [ 52%] Building CXX object ice40/CMakeFiles/nextpnr-ice40-test.dir/__/common/kernel/idstring.cc.o [ 52%] Building CXX object ice40/CMakeFiles/nextpnr-ice40.dir/__/common/kernel/nextpnr_assertions.cc.o [ 52%] Building CXX object ice40/CMakeFiles/nextpnr-ice40-test.dir/__/common/kernel/embed.cc.o cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/ice40 && /gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DNO_RUST -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -Dnextpnr_ice40_EXPORTS -I/gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/include/python3.11 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/ice40 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/common -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/frontend/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/json/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/rust/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/json11/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/place/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/route/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/oourafft/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/ice40 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui -isystem /gnu/store/r94kayv6y93mj1hgc13k11klha366dsw-eigen-3.4.0/include/eigen3 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtWidgets -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtGui -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtCore -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/lib/qt5/mkspecs/linux-g++ -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -fdiagnostics-color=always -fPIC -MD -MT ice40/CMakeFiles/nextpnr-ice40.dir/__/common/kernel/embed.cc.o -MF CMakeFiles/nextpnr-ice40.dir/__/common/kernel/embed.cc.o.d -o CMakeFiles/nextpnr-ice40.dir/__/common/kernel/embed.cc.o -c /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/embed.cc cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/ice40 && /gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DNO_RUST -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -Dnextpnr_ice40_EXPORTS -I/gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/include/python3.11 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/ice40 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/common -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/frontend/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/json/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/rust/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/json11/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/place/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/route/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/oourafft/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/ice40 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui -isystem /gnu/store/r94kayv6y93mj1hgc13k11klha366dsw-eigen-3.4.0/include/eigen3 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtWidgets -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtGui -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtCore -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/lib/qt5/mkspecs/linux-g++ -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -fdiagnostics-color=always -fPIC -MD -MT ice40/CMakeFiles/nextpnr-ice40.dir/__/common/kernel/handle_error.cc.o -MF CMakeFiles/nextpnr-ice40.dir/__/common/kernel/handle_error.cc.o.d -o CMakeFiles/nextpnr-ice40.dir/__/common/kernel/handle_error.cc.o -c /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/handle_error.cc [ 53%] Building CXX object ice40/CMakeFiles/nextpnr-ice40.dir/__/common/kernel/nextpnr_namespaces.cc.o cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/ice40 && /gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DGTEST_LINKED_AS_SHARED_LIBRARY=1 -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DNO_RUST -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -Dnextpnr_ice40_test_EXPORTS -I/gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/include/python3.11 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/ice40/gtest_include_dir -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/ice40 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/common -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/frontend/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/json/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/rust/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/json11/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/place/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/route/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/oourafft/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/ice40 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui -isystem /gnu/store/r94kayv6y93mj1hgc13k11klha366dsw-eigen-3.4.0/include/eigen3 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtWidgets -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtGui -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtCore -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/lib/qt5/mkspecs/linux-g++ -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -fdiagnostics-color=always -fPIC -MD -MT ice40/CMakeFiles/nextpnr-ice40-test.dir/__/common/kernel/design_utils.cc.o -MF CMakeFiles/nextpnr-ice40-test.dir/__/common/kernel/design_utils.cc.o.d -o CMakeFiles/nextpnr-ice40-test.dir/__/common/kernel/design_utils.cc.o -c /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/design_utils.cc [ 53%] Building CXX object ice40/CMakeFiles/nextpnr-ice40-test.dir/__/common/kernel/log.cc.o [ 54%] Building CXX object ice40/CMakeFiles/nextpnr-ice40-test.dir/__/common/kernel/idstringlist.cc.o [ 54%] Building CXX object ice40/CMakeFiles/nextpnr-ice40.dir/__/common/kernel/nextpnr_types.cc.o [ 54%] Building CXX object ice40/CMakeFiles/nextpnr-ice40.dir/__/common/kernel/pybindings.cc.o [ 54%] Building CXX object ice40/CMakeFiles/nextpnr-ice40.dir/__/common/kernel/property.cc.o cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/ice40 && /gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DGTEST_LINKED_AS_SHARED_LIBRARY=1 -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DNO_RUST -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -Dnextpnr_ice40_test_EXPORTS -I/gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/include/python3.11 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/ice40/gtest_include_dir -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/ice40 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/common -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/frontend/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/json/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/rust/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/json11/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/place/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/route/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/oourafft/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/ice40 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui -isystem /gnu/store/r94kayv6y93mj1hgc13k11klha366dsw-eigen-3.4.0/include/eigen3 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtWidgets -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtGui -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtCore -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/lib/qt5/mkspecs/linux-g++ -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -fdiagnostics-color=always -fPIC -MD -MT ice40/CMakeFiles/nextpnr-ice40-test.dir/pack.cc.o -MF CMakeFiles/nextpnr-ice40-test.dir/pack.cc.o.d -o CMakeFiles/nextpnr-ice40-test.dir/pack.cc.o -c /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/ice40/pack.cc cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/ice40 && /gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DNO_RUST -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -Dnextpnr_ice40_EXPORTS -I/gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/include/python3.11 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/ice40 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/common -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/frontend/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/json/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/rust/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/json11/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/place/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/route/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/oourafft/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/ice40 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui -isystem /gnu/store/r94kayv6y93mj1hgc13k11klha366dsw-eigen-3.4.0/include/eigen3 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtWidgets -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtGui -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtCore -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/lib/qt5/mkspecs/linux-g++ -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -fdiagnostics-color=always -fPIC -MD -MT ice40/CMakeFiles/nextpnr-ice40.dir/__/common/kernel/idstring.cc.o -MF CMakeFiles/nextpnr-ice40.dir/__/common/kernel/idstring.cc.o.d -o CMakeFiles/nextpnr-ice40.dir/__/common/kernel/idstring.cc.o -c /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/idstring.cc cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/ice40 && /gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DGTEST_LINKED_AS_SHARED_LIBRARY=1 -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DNO_RUST -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -Dnextpnr_ice40_test_EXPORTS -I/gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/include/python3.11 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/ice40/gtest_include_dir -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/ice40 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/common -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/frontend/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/json/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/rust/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/json11/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/place/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/route/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/oourafft/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/ice40 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui -isystem /gnu/store/r94kayv6y93mj1hgc13k11klha366dsw-eigen-3.4.0/include/eigen3 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtWidgets -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtGui -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtCore -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/lib/qt5/mkspecs/linux-g++ -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -fdiagnostics-color=always -fPIC -MD -MT ice40/CMakeFiles/nextpnr-ice40-test.dir/__/common/kernel/embed.cc.o -MF CMakeFiles/nextpnr-ice40-test.dir/__/common/kernel/embed.cc.o.d -o CMakeFiles/nextpnr-ice40-test.dir/__/common/kernel/embed.cc.o -c /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/embed.cc cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/ice40 && /gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DNO_RUST -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -Dnextpnr_ice40_EXPORTS -I/gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/include/python3.11 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/ice40 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/common -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/frontend/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/json/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/rust/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/json11/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/place/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/route/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/oourafft/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/ice40 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui -isystem /gnu/store/r94kayv6y93mj1hgc13k11klha366dsw-eigen-3.4.0/include/eigen3 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtWidgets -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtGui -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtCore -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/lib/qt5/mkspecs/linux-g++ -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -fdiagnostics-color=always -fPIC -MD -MT ice40/CMakeFiles/nextpnr-ice40.dir/__/common/kernel/idstringlist.cc.o -MF CMakeFiles/nextpnr-ice40.dir/__/common/kernel/idstringlist.cc.o.d -o CMakeFiles/nextpnr-ice40.dir/__/common/kernel/idstringlist.cc.o -c /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/idstringlist.cc [ 54%] Building CXX object ice40/CMakeFiles/nextpnr-ice40.dir/__/common/kernel/report.cc.o [ 54%] Building CXX object ice40/CMakeFiles/nextpnr-ice40.dir/__/common/kernel/sdc.cc.o cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/ice40 && /gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DGTEST_LINKED_AS_SHARED_LIBRARY=1 -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DNO_RUST -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -Dnextpnr_ice40_test_EXPORTS -I/gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/include/python3.11 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/ice40/gtest_include_dir -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/ice40 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/common -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/frontend/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/json/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/rust/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/json11/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/place/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/route/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/oourafft/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/ice40 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui -isystem /gnu/store/r94kayv6y93mj1hgc13k11klha366dsw-eigen-3.4.0/include/eigen3 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtWidgets -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtGui -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtCore -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/lib/qt5/mkspecs/linux-g++ -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -fdiagnostics-color=always -fPIC -MD -MT ice40/CMakeFiles/nextpnr-ice40-test.dir/__/common/kernel/handle_error.cc.o -MF CMakeFiles/nextpnr-ice40-test.dir/__/common/kernel/handle_error.cc.o.d -o CMakeFiles/nextpnr-ice40-test.dir/__/common/kernel/handle_error.cc.o -c /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/handle_error.cc cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/ice40 && /gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DNO_RUST -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -Dnextpnr_ice40_EXPORTS -I/gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/include/python3.11 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/ice40 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/common -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/frontend/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/json/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/rust/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/json11/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/place/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/route/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/oourafft/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/ice40 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui -isystem /gnu/store/r94kayv6y93mj1hgc13k11klha366dsw-eigen-3.4.0/include/eigen3 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtWidgets -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtGui -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtCore -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/lib/qt5/mkspecs/linux-g++ -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -fdiagnostics-color=always -fPIC -MD -MT ice40/CMakeFiles/nextpnr-ice40.dir/__/common/kernel/log.cc.o -MF CMakeFiles/nextpnr-ice40.dir/__/common/kernel/log.cc.o.d -o CMakeFiles/nextpnr-ice40.dir/__/common/kernel/log.cc.o -c /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/log.cc cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/ice40 && /gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DGTEST_LINKED_AS_SHARED_LIBRARY=1 -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DNO_RUST -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -Dnextpnr_ice40_test_EXPORTS -I/gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/include/python3.11 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/ice40/gtest_include_dir -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/ice40 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/common -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/frontend/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/json/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/rust/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/json11/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/place/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/route/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/oourafft/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/ice40 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui -isystem /gnu/store/r94kayv6y93mj1hgc13k11klha366dsw-eigen-3.4.0/include/eigen3 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtWidgets -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtGui -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtCore -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/lib/qt5/mkspecs/linux-g++ -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -fdiagnostics-color=always -fPIC -MD -MT ice40/CMakeFiles/nextpnr-ice40-test.dir/__/common/kernel/idstring.cc.o -MF CMakeFiles/nextpnr-ice40-test.dir/__/common/kernel/idstring.cc.o.d -o CMakeFiles/nextpnr-ice40-test.dir/__/common/kernel/idstring.cc.o -c /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/idstring.cc cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/ice40 && /gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DNO_RUST -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -Dnextpnr_ice40_EXPORTS -I/gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/include/python3.11 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/ice40 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/common -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/frontend/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/json/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/rust/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/json11/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/place/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/route/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/oourafft/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/ice40 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui -isystem /gnu/store/r94kayv6y93mj1hgc13k11klha366dsw-eigen-3.4.0/include/eigen3 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtWidgets -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtGui -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtCore -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/lib/qt5/mkspecs/linux-g++ -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -fdiagnostics-color=always -fPIC -MD -MT ice40/CMakeFiles/nextpnr-ice40.dir/__/common/kernel/nextpnr_assertions.cc.o -MF CMakeFiles/nextpnr-ice40.dir/__/common/kernel/nextpnr_assertions.cc.o.d -o CMakeFiles/nextpnr-ice40.dir/__/common/kernel/nextpnr_assertions.cc.o -c /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/nextpnr_assertions.cc cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/ice40 && /gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DGTEST_LINKED_AS_SHARED_LIBRARY=1 -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DNO_RUST -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -Dnextpnr_ice40_test_EXPORTS -I/gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/include/python3.11 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/ice40/gtest_include_dir -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/ice40 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/common -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/frontend/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/json/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/rust/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/json11/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/place/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/route/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/oourafft/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/ice40 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui -isystem /gnu/store/r94kayv6y93mj1hgc13k11klha366dsw-eigen-3.4.0/include/eigen3 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtWidgets -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtGui -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtCore -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/lib/qt5/mkspecs/linux-g++ -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -fdiagnostics-color=always -fPIC -MD -MT ice40/CMakeFiles/nextpnr-ice40-test.dir/__/common/kernel/idstringlist.cc.o -MF CMakeFiles/nextpnr-ice40-test.dir/__/common/kernel/idstringlist.cc.o.d -o CMakeFiles/nextpnr-ice40-test.dir/__/common/kernel/idstringlist.cc.o -c /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/idstringlist.cc cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/ice40 && /gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DNO_RUST -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -Dnextpnr_ice40_EXPORTS -I/gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/include/python3.11 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/ice40 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/common -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/frontend/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/json/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/rust/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/json11/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/place/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/route/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/oourafft/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/ice40 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui -isystem /gnu/store/r94kayv6y93mj1hgc13k11klha366dsw-eigen-3.4.0/include/eigen3 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtWidgets -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtGui -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtCore -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/lib/qt5/mkspecs/linux-g++ -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -fdiagnostics-color=always -fPIC -MD -MT ice40/CMakeFiles/nextpnr-ice40.dir/__/common/kernel/nextpnr.cc.o -MF CMakeFiles/nextpnr-ice40.dir/__/common/kernel/nextpnr.cc.o.d -o CMakeFiles/nextpnr-ice40.dir/__/common/kernel/nextpnr.cc.o -c /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/nextpnr.cc cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/ice40 && /gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DGTEST_LINKED_AS_SHARED_LIBRARY=1 -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DNO_RUST -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -Dnextpnr_ice40_test_EXPORTS -I/gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/include/python3.11 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/ice40/gtest_include_dir -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/ice40 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/common -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/frontend/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/json/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/rust/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/json11/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/place/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/route/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/oourafft/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/ice40 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui -isystem /gnu/store/r94kayv6y93mj1hgc13k11klha366dsw-eigen-3.4.0/include/eigen3 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtWidgets -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtGui -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtCore -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/lib/qt5/mkspecs/linux-g++ -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -fdiagnostics-color=always -fPIC -MD -MT ice40/CMakeFiles/nextpnr-ice40-test.dir/__/common/kernel/log.cc.o -MF CMakeFiles/nextpnr-ice40-test.dir/__/common/kernel/log.cc.o.d -o CMakeFiles/nextpnr-ice40-test.dir/__/common/kernel/log.cc.o -c /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/log.cc [ 54%] Building CXX object ice40/CMakeFiles/nextpnr-ice40-test.dir/__/common/kernel/nextpnr_assertions.cc.o cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/ice40 && /gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DNO_RUST -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -Dnextpnr_ice40_EXPORTS -I/gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/include/python3.11 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/ice40 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/common -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/frontend/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/json/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/rust/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/json11/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/place/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/route/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/oourafft/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/ice40 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui -isystem /gnu/store/r94kayv6y93mj1hgc13k11klha366dsw-eigen-3.4.0/include/eigen3 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtWidgets -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtGui -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtCore -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/lib/qt5/mkspecs/linux-g++ -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -fdiagnostics-color=always -fPIC -MD -MT ice40/CMakeFiles/nextpnr-ice40.dir/__/common/kernel/nextpnr_namespaces.cc.o -MF CMakeFiles/nextpnr-ice40.dir/__/common/kernel/nextpnr_namespaces.cc.o.d -o CMakeFiles/nextpnr-ice40.dir/__/common/kernel/nextpnr_namespaces.cc.o -c /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/nextpnr_namespaces.cc cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/ice40 && /gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DNO_RUST -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -Dnextpnr_ice40_EXPORTS -I/gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/include/python3.11 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/ice40 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/common -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/frontend/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/json/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/rust/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/json11/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/place/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/route/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/oourafft/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/ice40 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui -isystem /gnu/store/r94kayv6y93mj1hgc13k11klha366dsw-eigen-3.4.0/include/eigen3 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtWidgets -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtGui -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtCore -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/lib/qt5/mkspecs/linux-g++ -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -fdiagnostics-color=always -fPIC -MD -MT ice40/CMakeFiles/nextpnr-ice40.dir/__/common/kernel/nextpnr_types.cc.o -MF CMakeFiles/nextpnr-ice40.dir/__/common/kernel/nextpnr_types.cc.o.d -o CMakeFiles/nextpnr-ice40.dir/__/common/kernel/nextpnr_types.cc.o -c /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/nextpnr_types.cc [ 54%] Building CXX object ice40/CMakeFiles/nextpnr-ice40.dir/__/common/kernel/str_ring_buffer.cc.o cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/ice40 && /gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DNO_RUST -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -Dnextpnr_ice40_EXPORTS -I/gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/include/python3.11 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/ice40 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/common -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/frontend/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/json/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/rust/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/json11/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/place/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/route/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/oourafft/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/ice40 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui -isystem /gnu/store/r94kayv6y93mj1hgc13k11klha366dsw-eigen-3.4.0/include/eigen3 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtWidgets -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtGui -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtCore -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/lib/qt5/mkspecs/linux-g++ -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -fdiagnostics-color=always -fPIC -MD -MT ice40/CMakeFiles/nextpnr-ice40.dir/__/common/kernel/property.cc.o -MF CMakeFiles/nextpnr-ice40.dir/__/common/kernel/property.cc.o.d -o CMakeFiles/nextpnr-ice40.dir/__/common/kernel/property.cc.o -c /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/property.cc [ 54%] Building CXX object ice40/CMakeFiles/nextpnr-ice40.dir/__/common/kernel/sdf.cc.o cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/ice40 && /gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DNO_RUST -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -Dnextpnr_ice40_EXPORTS -I/gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/include/python3.11 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/ice40 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/common -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/frontend/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/json/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/rust/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/json11/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/place/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/route/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/oourafft/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/ice40 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui -isystem /gnu/store/r94kayv6y93mj1hgc13k11klha366dsw-eigen-3.4.0/include/eigen3 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtWidgets -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtGui -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtCore -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/lib/qt5/mkspecs/linux-g++ -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -fdiagnostics-color=always -fPIC -MD -MT ice40/CMakeFiles/nextpnr-ice40.dir/__/common/kernel/pybindings.cc.o -MF CMakeFiles/nextpnr-ice40.dir/__/common/kernel/pybindings.cc.o.d -o CMakeFiles/nextpnr-ice40.dir/__/common/kernel/pybindings.cc.o -c /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/pybindings.cc cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/ice40 && /gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DNO_RUST -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -Dnextpnr_ice40_EXPORTS -I/gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/include/python3.11 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/ice40 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/common -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/frontend/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/json/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/rust/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/json11/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/place/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/route/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/oourafft/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/ice40 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui -isystem /gnu/store/r94kayv6y93mj1hgc13k11klha366dsw-eigen-3.4.0/include/eigen3 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtWidgets -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtGui -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtCore -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/lib/qt5/mkspecs/linux-g++ -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -fdiagnostics-color=always -fPIC -MD -MT ice40/CMakeFiles/nextpnr-ice40.dir/__/common/kernel/report.cc.o -MF CMakeFiles/nextpnr-ice40.dir/__/common/kernel/report.cc.o.d -o CMakeFiles/nextpnr-ice40.dir/__/common/kernel/report.cc.o -c /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/report.cc cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/ice40 && /gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DNO_RUST -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -Dnextpnr_ice40_EXPORTS -I/gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/include/python3.11 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/ice40 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/common -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/frontend/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/json/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/rust/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/json11/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/place/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/route/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/oourafft/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/ice40 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui -isystem /gnu/store/r94kayv6y93mj1hgc13k11klha366dsw-eigen-3.4.0/include/eigen3 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtWidgets -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtGui -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtCore -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/lib/qt5/mkspecs/linux-g++ -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -fdiagnostics-color=always -fPIC -MD -MT ice40/CMakeFiles/nextpnr-ice40.dir/__/common/kernel/sdc.cc.o -MF CMakeFiles/nextpnr-ice40.dir/__/common/kernel/sdc.cc.o.d -o CMakeFiles/nextpnr-ice40.dir/__/common/kernel/sdc.cc.o -c /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/sdc.cc cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/ice40 && /gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DGTEST_LINKED_AS_SHARED_LIBRARY=1 -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DNO_RUST -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -Dnextpnr_ice40_test_EXPORTS -I/gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/include/python3.11 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/ice40/gtest_include_dir -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/ice40 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/common -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/frontend/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/json/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/rust/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/json11/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/place/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/route/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/oourafft/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/ice40 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui -isystem /gnu/store/r94kayv6y93mj1hgc13k11klha366dsw-eigen-3.4.0/include/eigen3 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtWidgets -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtGui -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtCore -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/lib/qt5/mkspecs/linux-g++ -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -fdiagnostics-color=always -fPIC -MD -MT ice40/CMakeFiles/nextpnr-ice40-test.dir/__/common/kernel/nextpnr_assertions.cc.o -MF CMakeFiles/nextpnr-ice40-test.dir/__/common/kernel/nextpnr_assertions.cc.o.d -o CMakeFiles/nextpnr-ice40-test.dir/__/common/kernel/nextpnr_assertions.cc.o -c /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/nextpnr_assertions.cc [ 55%] Building CXX object ice40/CMakeFiles/nextpnr-ice40.dir/__/common/kernel/svg.cc.o [ 55%] Building CXX object ice40/CMakeFiles/nextpnr-ice40-test.dir/__/common/kernel/nextpnr.cc.o cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/ice40 && /gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DNO_RUST -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -Dnextpnr_ice40_EXPORTS -I/gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/include/python3.11 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/ice40 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/common -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/frontend/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/json/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/rust/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/json11/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/place/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/route/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/oourafft/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/ice40 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui -isystem /gnu/store/r94kayv6y93mj1hgc13k11klha366dsw-eigen-3.4.0/include/eigen3 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtWidgets -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtGui -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtCore -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/lib/qt5/mkspecs/linux-g++ -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -fdiagnostics-color=always -fPIC -MD -MT ice40/CMakeFiles/nextpnr-ice40.dir/__/common/kernel/str_ring_buffer.cc.o -MF CMakeFiles/nextpnr-ice40.dir/__/common/kernel/str_ring_buffer.cc.o.d -o CMakeFiles/nextpnr-ice40.dir/__/common/kernel/str_ring_buffer.cc.o -c /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/str_ring_buffer.cc [ 55%] Building CXX object ice40/CMakeFiles/nextpnr-ice40.dir/__/common/kernel/timing.cc.o cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/ice40 && /gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DNO_RUST -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -Dnextpnr_ice40_EXPORTS -I/gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/include/python3.11 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/ice40 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/common -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/frontend/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/json/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/rust/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/json11/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/place/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/route/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/oourafft/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/ice40 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui -isystem /gnu/store/r94kayv6y93mj1hgc13k11klha366dsw-eigen-3.4.0/include/eigen3 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtWidgets -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtGui -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtCore -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/lib/qt5/mkspecs/linux-g++ -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -fdiagnostics-color=always -fPIC -MD -MT ice40/CMakeFiles/nextpnr-ice40.dir/__/common/kernel/sdf.cc.o -MF CMakeFiles/nextpnr-ice40.dir/__/common/kernel/sdf.cc.o.d -o CMakeFiles/nextpnr-ice40.dir/__/common/kernel/sdf.cc.o -c /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/sdf.cc cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/ice40 && /gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DGTEST_LINKED_AS_SHARED_LIBRARY=1 -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DNO_RUST -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -Dnextpnr_ice40_test_EXPORTS -I/gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/include/python3.11 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/ice40/gtest_include_dir -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/ice40 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/common -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/frontend/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/json/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/rust/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/json11/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/place/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/route/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/oourafft/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/ice40 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui -isystem /gnu/store/r94kayv6y93mj1hgc13k11klha366dsw-eigen-3.4.0/include/eigen3 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtWidgets -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtGui -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtCore -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/lib/qt5/mkspecs/linux-g++ -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -fdiagnostics-color=always -fPIC -MD -MT ice40/CMakeFiles/nextpnr-ice40-test.dir/__/common/kernel/nextpnr.cc.o -MF CMakeFiles/nextpnr-ice40-test.dir/__/common/kernel/nextpnr.cc.o.d -o CMakeFiles/nextpnr-ice40-test.dir/__/common/kernel/nextpnr.cc.o -c /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/nextpnr.cc cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/ice40 && /gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DNO_RUST -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -Dnextpnr_ice40_EXPORTS -I/gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/include/python3.11 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/ice40 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/common -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/frontend/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/json/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/rust/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/json11/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/place/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/route/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/oourafft/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/ice40 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui -isystem /gnu/store/r94kayv6y93mj1hgc13k11klha366dsw-eigen-3.4.0/include/eigen3 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtWidgets -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtGui -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtCore -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/lib/qt5/mkspecs/linux-g++ -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -fdiagnostics-color=always -fPIC -MD -MT ice40/CMakeFiles/nextpnr-ice40.dir/__/common/kernel/svg.cc.o -MF CMakeFiles/nextpnr-ice40.dir/__/common/kernel/svg.cc.o.d -o CMakeFiles/nextpnr-ice40.dir/__/common/kernel/svg.cc.o -c /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/svg.cc [ 55%] Building CXX object ice40/CMakeFiles/nextpnr-ice40-test.dir/__/common/kernel/nextpnr_namespaces.cc.o cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/ice40 && /gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DNO_RUST -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -Dnextpnr_ice40_EXPORTS -I/gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/include/python3.11 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/ice40 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/common -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/frontend/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/json/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/rust/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/json11/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/place/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/route/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/oourafft/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/ice40 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui -isystem /gnu/store/r94kayv6y93mj1hgc13k11klha366dsw-eigen-3.4.0/include/eigen3 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtWidgets -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtGui -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtCore -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/lib/qt5/mkspecs/linux-g++ -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -fdiagnostics-color=always -fPIC -MD -MT ice40/CMakeFiles/nextpnr-ice40.dir/__/common/kernel/timing.cc.o -MF CMakeFiles/nextpnr-ice40.dir/__/common/kernel/timing.cc.o.d -o CMakeFiles/nextpnr-ice40.dir/__/common/kernel/timing.cc.o -c /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/timing.cc cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/ice40 && /gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DGTEST_LINKED_AS_SHARED_LIBRARY=1 -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DNO_RUST -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -Dnextpnr_ice40_test_EXPORTS -I/gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/include/python3.11 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/ice40/gtest_include_dir -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/ice40 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/common -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/frontend/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/json/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/rust/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/json11/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/place/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/route/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/oourafft/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/ice40 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui -isystem /gnu/store/r94kayv6y93mj1hgc13k11klha366dsw-eigen-3.4.0/include/eigen3 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtWidgets -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtGui -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtCore -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/lib/qt5/mkspecs/linux-g++ -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -fdiagnostics-color=always -fPIC -MD -MT ice40/CMakeFiles/nextpnr-ice40-test.dir/__/common/kernel/nextpnr_namespaces.cc.o -MF CMakeFiles/nextpnr-ice40-test.dir/__/common/kernel/nextpnr_namespaces.cc.o.d -o CMakeFiles/nextpnr-ice40-test.dir/__/common/kernel/nextpnr_namespaces.cc.o -c /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/nextpnr_namespaces.cc [ 55%] Building CXX object ice40/CMakeFiles/nextpnr-ice40-test.dir/__/frontend/json_frontend.cc.o [ 55%] Building CXX object ice40/CMakeFiles/nextpnr-ice40-test.dir/__/common/kernel/nextpnr_types.cc.o cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/ice40 && /gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DGTEST_LINKED_AS_SHARED_LIBRARY=1 -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DNO_RUST -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -Dnextpnr_ice40_test_EXPORTS -I/gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/include/python3.11 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/ice40/gtest_include_dir -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/ice40 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/common -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/frontend/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/json/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/rust/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/json11/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/place/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/route/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/oourafft/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/ice40 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui -isystem /gnu/store/r94kayv6y93mj1hgc13k11klha366dsw-eigen-3.4.0/include/eigen3 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtWidgets -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtGui -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtCore -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/lib/qt5/mkspecs/linux-g++ -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -fdiagnostics-color=always -fPIC -MD -MT ice40/CMakeFiles/nextpnr-ice40-test.dir/__/common/kernel/nextpnr_types.cc.o -MF CMakeFiles/nextpnr-ice40-test.dir/__/common/kernel/nextpnr_types.cc.o.d -o CMakeFiles/nextpnr-ice40-test.dir/__/common/kernel/nextpnr_types.cc.o -c /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/nextpnr_types.cc cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/ice40 && /gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DGTEST_LINKED_AS_SHARED_LIBRARY=1 -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DNO_RUST -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -Dnextpnr_ice40_test_EXPORTS -I/gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/include/python3.11 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/ice40/gtest_include_dir -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/ice40 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/common -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/frontend/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/json/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/rust/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/json11/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/place/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/route/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/oourafft/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/ice40 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui -isystem /gnu/store/r94kayv6y93mj1hgc13k11klha366dsw-eigen-3.4.0/include/eigen3 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtWidgets -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtGui -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtCore -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/lib/qt5/mkspecs/linux-g++ -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -fdiagnostics-color=always -fPIC -MD -MT ice40/CMakeFiles/nextpnr-ice40-test.dir/__/frontend/json_frontend.cc.o -MF CMakeFiles/nextpnr-ice40-test.dir/__/frontend/json_frontend.cc.o.d -o CMakeFiles/nextpnr-ice40-test.dir/__/frontend/json_frontend.cc.o -c /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/frontend/json_frontend.cc [ 55%] Building CXX object ice40/CMakeFiles/nextpnr-ice40.dir/chipdb-8k.bin.cc.o [ 55%] Building CXX object ice40/CMakeFiles/nextpnr-ice40-test.dir/__/tests/gui/quadtree.cc.o cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/ice40 && /gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DGTEST_LINKED_AS_SHARED_LIBRARY=1 -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DNO_RUST -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -Dnextpnr_ice40_test_EXPORTS -I/gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/include/python3.11 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/ice40/gtest_include_dir -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/ice40 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/common -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/frontend/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/json/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/rust/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/json11/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/place/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/route/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/oourafft/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/ice40 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui -isystem /gnu/store/r94kayv6y93mj1hgc13k11klha366dsw-eigen-3.4.0/include/eigen3 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtWidgets -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtGui -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtCore -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/lib/qt5/mkspecs/linux-g++ -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -fdiagnostics-color=always -fPIC -MD -MT ice40/CMakeFiles/nextpnr-ice40-test.dir/__/tests/gui/quadtree.cc.o -MF CMakeFiles/nextpnr-ice40-test.dir/__/tests/gui/quadtree.cc.o.d -o CMakeFiles/nextpnr-ice40-test.dir/__/tests/gui/quadtree.cc.o -c /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/tests/gui/quadtree.cc cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/ice40 && /gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DNO_RUST -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -Dnextpnr_ice40_EXPORTS -I/gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/include/python3.11 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/ice40 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/common -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/frontend/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/json/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/rust/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/json11/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/place/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/route/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/oourafft/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/ice40 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui -isystem /gnu/store/r94kayv6y93mj1hgc13k11klha366dsw-eigen-3.4.0/include/eigen3 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtWidgets -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtGui -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtCore -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/lib/qt5/mkspecs/linux-g++ -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -fdiagnostics-color=always -fPIC -w -g0 -O0 -MD -MT ice40/CMakeFiles/nextpnr-ice40.dir/chipdb-8k.bin.cc.o -MF CMakeFiles/nextpnr-ice40.dir/chipdb-8k.bin.cc.o.d -o CMakeFiles/nextpnr-ice40.dir/chipdb-8k.bin.cc.o -c /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/ice40/chipdb-8k.bin.cc [ 56%] Building CXX object ice40/CMakeFiles/nextpnr-ice40.dir/chipdb-5k.bin.cc.o [ 56%] Building CXX object ice40/CMakeFiles/nextpnr-ice40.dir/chipdb-u4k.bin.cc.o [ 56%] Building CXX object ice40/CMakeFiles/nextpnr-ice40.dir/chipdb-1k.bin.cc.o [ 56%] Building CXX object ice40/CMakeFiles/nextpnr-ice40.dir/chipdb-384.bin.cc.o cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/ice40 && /gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DNO_RUST -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -Dnextpnr_ice40_EXPORTS -I/gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/include/python3.11 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/ice40 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/common -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/frontend/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/json/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/rust/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/json11/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/place/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/route/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/oourafft/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/ice40 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui -isystem /gnu/store/r94kayv6y93mj1hgc13k11klha366dsw-eigen-3.4.0/include/eigen3 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtWidgets -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtGui -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtCore -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/lib/qt5/mkspecs/linux-g++ -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -fdiagnostics-color=always -fPIC -w -g0 -O0 -MD -MT ice40/CMakeFiles/nextpnr-ice40.dir/chipdb-u4k.bin.cc.o -MF CMakeFiles/nextpnr-ice40.dir/chipdb-u4k.bin.cc.o.d -o CMakeFiles/nextpnr-ice40.dir/chipdb-u4k.bin.cc.o -c /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/ice40/chipdb-u4k.bin.cc cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/ice40 && /gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DNO_RUST -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -Dnextpnr_ice40_EXPORTS -I/gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/include/python3.11 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/ice40 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/common -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/frontend/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/json/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/rust/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/json11/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/place/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/route/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/oourafft/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/ice40 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui -isystem /gnu/store/r94kayv6y93mj1hgc13k11klha366dsw-eigen-3.4.0/include/eigen3 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtWidgets -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtGui -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtCore -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/lib/qt5/mkspecs/linux-g++ -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -fdiagnostics-color=always -fPIC -w -g0 -O0 -MD -MT ice40/CMakeFiles/nextpnr-ice40.dir/chipdb-5k.bin.cc.o -MF CMakeFiles/nextpnr-ice40.dir/chipdb-5k.bin.cc.o.d -o CMakeFiles/nextpnr-ice40.dir/chipdb-5k.bin.cc.o -c /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/ice40/chipdb-5k.bin.cc cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/ice40 && /gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DNO_RUST -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -Dnextpnr_ice40_EXPORTS -I/gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/include/python3.11 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/ice40 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/common -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/frontend/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/json/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/rust/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/json11/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/place/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/route/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/oourafft/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/ice40 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui -isystem /gnu/store/r94kayv6y93mj1hgc13k11klha366dsw-eigen-3.4.0/include/eigen3 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtWidgets -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtGui -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtCore -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/lib/qt5/mkspecs/linux-g++ -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -fdiagnostics-color=always -fPIC -w -g0 -O0 -MD -MT ice40/CMakeFiles/nextpnr-ice40.dir/chipdb-1k.bin.cc.o -MF CMakeFiles/nextpnr-ice40.dir/chipdb-1k.bin.cc.o.d -o CMakeFiles/nextpnr-ice40.dir/chipdb-1k.bin.cc.o -c /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/ice40/chipdb-1k.bin.cc cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/ice40 && /gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DNO_RUST -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -Dnextpnr_ice40_EXPORTS -I/gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/include/python3.11 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/ice40 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/common -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/frontend/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/json/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/rust/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/json11/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/place/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/route/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/oourafft/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/ice40 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui -isystem /gnu/store/r94kayv6y93mj1hgc13k11klha366dsw-eigen-3.4.0/include/eigen3 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtWidgets -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtGui -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtCore -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/lib/qt5/mkspecs/linux-g++ -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -fdiagnostics-color=always -fPIC -w -g0 -O0 -MD -MT ice40/CMakeFiles/nextpnr-ice40.dir/chipdb-384.bin.cc.o -MF CMakeFiles/nextpnr-ice40.dir/chipdb-384.bin.cc.o.d -o CMakeFiles/nextpnr-ice40.dir/chipdb-384.bin.cc.o -c /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/ice40/chipdb-384.bin.cc [ 56%] Building CXX object ice40/CMakeFiles/nextpnr-ice40.dir/__/3rdparty/oourafft/fftsg2d.cc.o cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/ice40 && /gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DNO_RUST -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -Dnextpnr_ice40_EXPORTS -I/gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/include/python3.11 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/ice40 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/common -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/frontend/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/json/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/rust/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/json11/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/place/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/route/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/oourafft/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/ice40 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui -isystem /gnu/store/r94kayv6y93mj1hgc13k11klha366dsw-eigen-3.4.0/include/eigen3 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtWidgets -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtGui -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtCore -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/lib/qt5/mkspecs/linux-g++ -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -fdiagnostics-color=always -fPIC -MD -MT ice40/CMakeFiles/nextpnr-ice40.dir/__/3rdparty/oourafft/fftsg2d.cc.o -MF CMakeFiles/nextpnr-ice40.dir/__/3rdparty/oourafft/fftsg2d.cc.o.d -o CMakeFiles/nextpnr-ice40.dir/__/3rdparty/oourafft/fftsg2d.cc.o -c /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/oourafft/fftsg2d.cc [ 56%] Building CXX object ice40/CMakeFiles/nextpnr-ice40.dir/__/common/route/router2.cc.o [ 56%] Building CXX object ice40/CMakeFiles/nextpnr-ice40.dir/__/3rdparty/oourafft/fftsg.cc.o cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/ice40 && /gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DNO_RUST -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -Dnextpnr_ice40_EXPORTS -I/gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/include/python3.11 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/ice40 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/common -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/frontend/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/json/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/rust/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/json11/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/place/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/route/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/oourafft/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/ice40 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui -isystem /gnu/store/r94kayv6y93mj1hgc13k11klha366dsw-eigen-3.4.0/include/eigen3 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtWidgets -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtGui -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtCore -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/lib/qt5/mkspecs/linux-g++ -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -fdiagnostics-color=always -fPIC -MD -MT ice40/CMakeFiles/nextpnr-ice40.dir/__/3rdparty/oourafft/fftsg.cc.o -MF CMakeFiles/nextpnr-ice40.dir/__/3rdparty/oourafft/fftsg.cc.o.d -o CMakeFiles/nextpnr-ice40.dir/__/3rdparty/oourafft/fftsg.cc.o -c /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/oourafft/fftsg.cc cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/ice40 && /gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DNO_RUST -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -Dnextpnr_ice40_EXPORTS -I/gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/include/python3.11 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/ice40 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/common -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/frontend/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/json/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/rust/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/json11/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/place/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/route/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/oourafft/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/ice40 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui -isystem /gnu/store/r94kayv6y93mj1hgc13k11klha366dsw-eigen-3.4.0/include/eigen3 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtWidgets -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtGui -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtCore -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/lib/qt5/mkspecs/linux-g++ -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -fdiagnostics-color=always -fPIC -MD -MT ice40/CMakeFiles/nextpnr-ice40.dir/__/common/route/router2.cc.o -MF CMakeFiles/nextpnr-ice40.dir/__/common/route/router2.cc.o.d -o CMakeFiles/nextpnr-ice40.dir/__/common/route/router2.cc.o -c /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/route/router2.cc [ 56%] Building CXX object ice40/CMakeFiles/nextpnr-ice40-test.dir/chipdb-8k.bin.cc.o [ 56%] Building CXX object ice40/CMakeFiles/nextpnr-ice40-test.dir/chipdb-u4k.bin.cc.o cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/ice40 && /gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DGTEST_LINKED_AS_SHARED_LIBRARY=1 -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DNO_RUST -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -Dnextpnr_ice40_test_EXPORTS -I/gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/include/python3.11 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/ice40/gtest_include_dir -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/ice40 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/common -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/frontend/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/json/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/rust/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/json11/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/place/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/route/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/oourafft/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/ice40 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui -isystem /gnu/store/r94kayv6y93mj1hgc13k11klha366dsw-eigen-3.4.0/include/eigen3 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtWidgets -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtGui -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtCore -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/lib/qt5/mkspecs/linux-g++ -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -fdiagnostics-color=always -fPIC -w -g0 -O0 -MD -MT ice40/CMakeFiles/nextpnr-ice40-test.dir/chipdb-u4k.bin.cc.o -MF CMakeFiles/nextpnr-ice40-test.dir/chipdb-u4k.bin.cc.o.d -o CMakeFiles/nextpnr-ice40-test.dir/chipdb-u4k.bin.cc.o -c /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/ice40/chipdb-u4k.bin.cc cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/ice40 && /gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DGTEST_LINKED_AS_SHARED_LIBRARY=1 -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DNO_RUST -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -Dnextpnr_ice40_test_EXPORTS -I/gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/include/python3.11 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/ice40/gtest_include_dir -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/ice40 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/common -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/frontend/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/json/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/rust/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/json11/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/place/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/route/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/oourafft/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/ice40 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui -isystem /gnu/store/r94kayv6y93mj1hgc13k11klha366dsw-eigen-3.4.0/include/eigen3 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtWidgets -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtGui -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtCore -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/lib/qt5/mkspecs/linux-g++ -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -fdiagnostics-color=always -fPIC -w -g0 -O0 -MD -MT ice40/CMakeFiles/nextpnr-ice40-test.dir/chipdb-8k.bin.cc.o -MF CMakeFiles/nextpnr-ice40-test.dir/chipdb-8k.bin.cc.o.d -o CMakeFiles/nextpnr-ice40-test.dir/chipdb-8k.bin.cc.o -c /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/ice40/chipdb-8k.bin.cc [ 56%] Building CXX object ice40/CMakeFiles/nextpnr-ice40-test.dir/chipdb-5k.bin.cc.o [ 56%] Building CXX object ice40/CMakeFiles/nextpnr-ice40-test.dir/chipdb-1k.bin.cc.o cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/ice40 && /gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DGTEST_LINKED_AS_SHARED_LIBRARY=1 -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DNO_RUST -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -Dnextpnr_ice40_test_EXPORTS -I/gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/include/python3.11 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/ice40/gtest_include_dir -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/ice40 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/common -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/frontend/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/json/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/rust/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/json11/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/place/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/route/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/oourafft/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/ice40 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui -isystem /gnu/store/r94kayv6y93mj1hgc13k11klha366dsw-eigen-3.4.0/include/eigen3 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtWidgets -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtGui -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtCore -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/lib/qt5/mkspecs/linux-g++ -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -fdiagnostics-color=always -fPIC -w -g0 -O0 -MD -MT ice40/CMakeFiles/nextpnr-ice40-test.dir/chipdb-1k.bin.cc.o -MF CMakeFiles/nextpnr-ice40-test.dir/chipdb-1k.bin.cc.o.d -o CMakeFiles/nextpnr-ice40-test.dir/chipdb-1k.bin.cc.o -c /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/ice40/chipdb-1k.bin.cc cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/ice40 && /gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DGTEST_LINKED_AS_SHARED_LIBRARY=1 -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DNO_RUST -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -Dnextpnr_ice40_test_EXPORTS -I/gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/include/python3.11 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/ice40/gtest_include_dir -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/ice40 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/common -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/frontend/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/json/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/rust/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/json11/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/place/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/route/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/oourafft/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/ice40 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui -isystem /gnu/store/r94kayv6y93mj1hgc13k11klha366dsw-eigen-3.4.0/include/eigen3 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtWidgets -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtGui -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtCore -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/lib/qt5/mkspecs/linux-g++ -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -fdiagnostics-color=always -fPIC -w -g0 -O0 -MD -MT ice40/CMakeFiles/nextpnr-ice40-test.dir/chipdb-5k.bin.cc.o -MF CMakeFiles/nextpnr-ice40-test.dir/chipdb-5k.bin.cc.o.d -o CMakeFiles/nextpnr-ice40-test.dir/chipdb-5k.bin.cc.o -c /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/ice40/chipdb-5k.bin.cc [ 57%] Building CXX object ice40/CMakeFiles/nextpnr-ice40-test.dir/__/3rdparty/oourafft/fftsg2d.cc.o [ 57%] Building CXX object ice40/CMakeFiles/nextpnr-ice40-test.dir/chipdb-384.bin.cc.o [ 57%] Building CXX object ice40/CMakeFiles/nextpnr-ice40-test.dir/__/3rdparty/oourafft/fftsg.cc.o cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/ice40 && /gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DGTEST_LINKED_AS_SHARED_LIBRARY=1 -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DNO_RUST -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -Dnextpnr_ice40_test_EXPORTS -I/gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/include/python3.11 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/ice40/gtest_include_dir -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/ice40 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/common -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/frontend/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/json/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/rust/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/json11/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/place/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/route/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/oourafft/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/ice40 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui -isystem /gnu/store/r94kayv6y93mj1hgc13k11klha366dsw-eigen-3.4.0/include/eigen3 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtWidgets -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtGui -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtCore -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/lib/qt5/mkspecs/linux-g++ -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -fdiagnostics-color=always -fPIC -w -g0 -O0 -MD -MT ice40/CMakeFiles/nextpnr-ice40-test.dir/chipdb-384.bin.cc.o -MF CMakeFiles/nextpnr-ice40-test.dir/chipdb-384.bin.cc.o.d -o CMakeFiles/nextpnr-ice40-test.dir/chipdb-384.bin.cc.o -c /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/ice40/chipdb-384.bin.cc cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/ice40 && /gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DGTEST_LINKED_AS_SHARED_LIBRARY=1 -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DNO_RUST -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -Dnextpnr_ice40_test_EXPORTS -I/gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/include/python3.11 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/ice40/gtest_include_dir -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/ice40 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/common -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/frontend/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/json/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/rust/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/json11/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/place/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/route/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/oourafft/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/ice40 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui -isystem /gnu/store/r94kayv6y93mj1hgc13k11klha366dsw-eigen-3.4.0/include/eigen3 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtWidgets -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtGui -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtCore -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/lib/qt5/mkspecs/linux-g++ -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -fdiagnostics-color=always -fPIC -MD -MT ice40/CMakeFiles/nextpnr-ice40-test.dir/__/3rdparty/oourafft/fftsg2d.cc.o -MF CMakeFiles/nextpnr-ice40-test.dir/__/3rdparty/oourafft/fftsg2d.cc.o.d -o CMakeFiles/nextpnr-ice40-test.dir/__/3rdparty/oourafft/fftsg2d.cc.o -c /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/oourafft/fftsg2d.cc cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/ice40 && /gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DGTEST_LINKED_AS_SHARED_LIBRARY=1 -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DNO_RUST -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -Dnextpnr_ice40_test_EXPORTS -I/gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/include/python3.11 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/ice40/gtest_include_dir -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/ice40 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/common -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/frontend/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/json/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/rust/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/json11/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/place/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/route/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/oourafft/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/ice40 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui -isystem /gnu/store/r94kayv6y93mj1hgc13k11klha366dsw-eigen-3.4.0/include/eigen3 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtWidgets -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtGui -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtCore -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/lib/qt5/mkspecs/linux-g++ -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -fdiagnostics-color=always -fPIC -MD -MT ice40/CMakeFiles/nextpnr-ice40-test.dir/__/3rdparty/oourafft/fftsg.cc.o -MF CMakeFiles/nextpnr-ice40-test.dir/__/3rdparty/oourafft/fftsg.cc.o.d -o CMakeFiles/nextpnr-ice40-test.dir/__/3rdparty/oourafft/fftsg.cc.o -c /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/oourafft/fftsg.cc [ 57%] Building CXX object ice40/CMakeFiles/nextpnr-ice40.dir/__/common/route/router1.cc.o cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/ice40 && /gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DNO_RUST -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -Dnextpnr_ice40_EXPORTS -I/gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/include/python3.11 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/ice40 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/common -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/frontend/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/json/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/rust/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/json11/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/place/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/route/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/oourafft/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/ice40 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui -isystem /gnu/store/r94kayv6y93mj1hgc13k11klha366dsw-eigen-3.4.0/include/eigen3 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtWidgets -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtGui -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtCore -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/lib/qt5/mkspecs/linux-g++ -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -fdiagnostics-color=always -fPIC -MD -MT ice40/CMakeFiles/nextpnr-ice40.dir/__/common/route/router1.cc.o -MF CMakeFiles/nextpnr-ice40.dir/__/common/route/router1.cc.o.d -o CMakeFiles/nextpnr-ice40.dir/__/common/route/router1.cc.o -c /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/route/router1.cc [ 58%] Building CXX object ice40/CMakeFiles/nextpnr-ice40.dir/__/common/place/timing_opt.cc.o [ 58%] Building CXX object ice40/CMakeFiles/nextpnr-ice40.dir/__/json/jsonwrite.cc.o [ 58%] Building CXX object ice40/CMakeFiles/nextpnr-ice40.dir/__/common/place/parallel_refine.cc.o [ 58%] Building CXX object ice40/CMakeFiles/nextpnr-ice40.dir/__/common/place/placer_heap.cc.o [ 58%] Building CXX object ice40/CMakeFiles/nextpnr-ice40.dir/__/common/place/detail_place_core.cc.o [ 58%] Building CXX object ice40/CMakeFiles/nextpnr-ice40.dir/__/common/place/placer_static.cc.o [ 58%] Building CXX object ice40/CMakeFiles/nextpnr-ice40.dir/__/common/place/place_common.cc.o [ 58%] Building CXX object ice40/CMakeFiles/nextpnr-ice40.dir/__/common/place/placer1.cc.o cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/ice40 && /gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DNO_RUST -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -Dnextpnr_ice40_EXPORTS -I/gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/include/python3.11 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/ice40 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/common -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/frontend/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/json/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/rust/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/json11/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/place/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/route/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/oourafft/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/ice40 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui -isystem /gnu/store/r94kayv6y93mj1hgc13k11klha366dsw-eigen-3.4.0/include/eigen3 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtWidgets -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtGui -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtCore -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/lib/qt5/mkspecs/linux-g++ -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -fdiagnostics-color=always -fPIC -MD -MT ice40/CMakeFiles/nextpnr-ice40.dir/__/common/place/timing_opt.cc.o -MF CMakeFiles/nextpnr-ice40.dir/__/common/place/timing_opt.cc.o.d -o CMakeFiles/nextpnr-ice40.dir/__/common/place/timing_opt.cc.o -c /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/place/timing_opt.cc cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/ice40 && /gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DNO_RUST -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -Dnextpnr_ice40_EXPORTS -I/gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/include/python3.11 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/ice40 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/common -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/frontend/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/json/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/rust/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/json11/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/place/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/route/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/oourafft/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/ice40 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui -isystem /gnu/store/r94kayv6y93mj1hgc13k11klha366dsw-eigen-3.4.0/include/eigen3 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtWidgets -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtGui -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtCore -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/lib/qt5/mkspecs/linux-g++ -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -fdiagnostics-color=always -fPIC -MD -MT ice40/CMakeFiles/nextpnr-ice40.dir/__/common/place/placer_static.cc.o -MF CMakeFiles/nextpnr-ice40.dir/__/common/place/placer_static.cc.o.d -o CMakeFiles/nextpnr-ice40.dir/__/common/place/placer_static.cc.o -c /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/place/placer_static.cc cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/ice40 && /gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DNO_RUST -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -Dnextpnr_ice40_EXPORTS -I/gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/include/python3.11 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/ice40 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/common -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/frontend/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/json/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/rust/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/json11/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/place/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/route/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/oourafft/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/ice40 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui -isystem /gnu/store/r94kayv6y93mj1hgc13k11klha366dsw-eigen-3.4.0/include/eigen3 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtWidgets -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtGui -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtCore -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/lib/qt5/mkspecs/linux-g++ -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -fdiagnostics-color=always -fPIC -MD -MT ice40/CMakeFiles/nextpnr-ice40.dir/__/common/place/placer_heap.cc.o -MF CMakeFiles/nextpnr-ice40.dir/__/common/place/placer_heap.cc.o.d -o CMakeFiles/nextpnr-ice40.dir/__/common/place/placer_heap.cc.o -c /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/place/placer_heap.cc cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/ice40 && /gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DNO_RUST -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -Dnextpnr_ice40_EXPORTS -I/gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/include/python3.11 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/ice40 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/common -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/frontend/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/json/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/rust/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/json11/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/place/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/route/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/oourafft/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/ice40 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui -isystem /gnu/store/r94kayv6y93mj1hgc13k11klha366dsw-eigen-3.4.0/include/eigen3 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtWidgets -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtGui -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtCore -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/lib/qt5/mkspecs/linux-g++ -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -fdiagnostics-color=always -fPIC -MD -MT ice40/CMakeFiles/nextpnr-ice40.dir/__/common/place/placer1.cc.o -MF CMakeFiles/nextpnr-ice40.dir/__/common/place/placer1.cc.o.d -o CMakeFiles/nextpnr-ice40.dir/__/common/place/placer1.cc.o -c /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/place/placer1.cc cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/ice40 && /gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DNO_RUST -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -Dnextpnr_ice40_EXPORTS -I/gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/include/python3.11 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/ice40 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/common -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/frontend/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/json/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/rust/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/json11/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/place/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/route/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/oourafft/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/ice40 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui -isystem /gnu/store/r94kayv6y93mj1hgc13k11klha366dsw-eigen-3.4.0/include/eigen3 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtWidgets -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtGui -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtCore -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/lib/qt5/mkspecs/linux-g++ -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -fdiagnostics-color=always -fPIC -MD -MT ice40/CMakeFiles/nextpnr-ice40.dir/__/common/place/place_common.cc.o -MF CMakeFiles/nextpnr-ice40.dir/__/common/place/place_common.cc.o.d -o CMakeFiles/nextpnr-ice40.dir/__/common/place/place_common.cc.o -c /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/place/place_common.cc cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/ice40 && /gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DNO_RUST -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -Dnextpnr_ice40_EXPORTS -I/gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/include/python3.11 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/ice40 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/common -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/frontend/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/json/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/rust/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/json11/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/place/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/route/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/oourafft/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/ice40 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui -isystem /gnu/store/r94kayv6y93mj1hgc13k11klha366dsw-eigen-3.4.0/include/eigen3 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtWidgets -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtGui -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtCore -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/lib/qt5/mkspecs/linux-g++ -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -fdiagnostics-color=always -fPIC -MD -MT ice40/CMakeFiles/nextpnr-ice40.dir/__/common/place/parallel_refine.cc.o -MF CMakeFiles/nextpnr-ice40.dir/__/common/place/parallel_refine.cc.o.d -o CMakeFiles/nextpnr-ice40.dir/__/common/place/parallel_refine.cc.o -c /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/place/parallel_refine.cc cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/ice40 && /gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DNO_RUST -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -Dnextpnr_ice40_EXPORTS -I/gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/include/python3.11 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/ice40 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/common -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/frontend/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/json/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/rust/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/json11/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/place/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/route/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/oourafft/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/ice40 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui -isystem /gnu/store/r94kayv6y93mj1hgc13k11klha366dsw-eigen-3.4.0/include/eigen3 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtWidgets -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtGui -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtCore -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/lib/qt5/mkspecs/linux-g++ -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -fdiagnostics-color=always -fPIC -MD -MT ice40/CMakeFiles/nextpnr-ice40.dir/__/common/place/detail_place_core.cc.o -MF CMakeFiles/nextpnr-ice40.dir/__/common/place/detail_place_core.cc.o.d -o CMakeFiles/nextpnr-ice40.dir/__/common/place/detail_place_core.cc.o -c /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/place/detail_place_core.cc cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/ice40 && /gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DNO_RUST -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -Dnextpnr_ice40_EXPORTS -I/gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/include/python3.11 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/ice40 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/common -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/frontend/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/json/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/rust/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/json11/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/place/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/route/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/oourafft/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/ice40 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui -isystem /gnu/store/r94kayv6y93mj1hgc13k11klha366dsw-eigen-3.4.0/include/eigen3 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtWidgets -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtGui -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtCore -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/lib/qt5/mkspecs/linux-g++ -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -fdiagnostics-color=always -fPIC -MD -MT ice40/CMakeFiles/nextpnr-ice40.dir/__/json/jsonwrite.cc.o -MF CMakeFiles/nextpnr-ice40.dir/__/json/jsonwrite.cc.o.d -o CMakeFiles/nextpnr-ice40.dir/__/json/jsonwrite.cc.o -c /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/json/jsonwrite.cc [ 58%] Building CXX object ice40/CMakeFiles/nextpnr-ice40-test.dir/__/common/route/router1.cc.o cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/ice40 && /gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DGTEST_LINKED_AS_SHARED_LIBRARY=1 -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DNO_RUST -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -Dnextpnr_ice40_test_EXPORTS -I/gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/include/python3.11 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/ice40/gtest_include_dir -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/ice40 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/common -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/frontend/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/json/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/rust/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/json11/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/place/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/route/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/oourafft/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/ice40 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui -isystem /gnu/store/r94kayv6y93mj1hgc13k11klha366dsw-eigen-3.4.0/include/eigen3 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtWidgets -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtGui -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtCore -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/lib/qt5/mkspecs/linux-g++ -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -fdiagnostics-color=always -fPIC -MD -MT ice40/CMakeFiles/nextpnr-ice40-test.dir/__/common/route/router1.cc.o -MF CMakeFiles/nextpnr-ice40-test.dir/__/common/route/router1.cc.o.d -o CMakeFiles/nextpnr-ice40-test.dir/__/common/route/router1.cc.o -c /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/route/router1.cc [ 58%] Building CXX object ice40/CMakeFiles/nextpnr-ice40-test.dir/__/common/route/router2.cc.o cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/ice40 && /gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DGTEST_LINKED_AS_SHARED_LIBRARY=1 -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DNO_RUST -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -Dnextpnr_ice40_test_EXPORTS -I/gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/include/python3.11 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/ice40/gtest_include_dir -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/ice40 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/common -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/frontend/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/json/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/rust/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/json11/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/place/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/route/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/oourafft/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/ice40 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui -isystem /gnu/store/r94kayv6y93mj1hgc13k11klha366dsw-eigen-3.4.0/include/eigen3 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtWidgets -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtGui -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtCore -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/lib/qt5/mkspecs/linux-g++ -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -fdiagnostics-color=always -fPIC -MD -MT ice40/CMakeFiles/nextpnr-ice40-test.dir/__/common/route/router2.cc.o -MF CMakeFiles/nextpnr-ice40-test.dir/__/common/route/router2.cc.o.d -o CMakeFiles/nextpnr-ice40-test.dir/__/common/route/router2.cc.o -c /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/route/router2.cc [ 58%] Building CXX object ice40/CMakeFiles/nextpnr-ice40-test.dir/__/common/place/placer_heap.cc.o [ 58%] Building CXX object ice40/CMakeFiles/nextpnr-ice40-test.dir/__/common/place/placer_static.cc.o [ 58%] Building CXX object ice40/CMakeFiles/nextpnr-ice40-test.dir/__/common/place/placer1.cc.o [ 58%] Building CXX object ice40/CMakeFiles/nextpnr-ice40-test.dir/__/common/place/timing_opt.cc.o cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/ice40 && /gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DGTEST_LINKED_AS_SHARED_LIBRARY=1 -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DNO_RUST -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -Dnextpnr_ice40_test_EXPORTS -I/gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/include/python3.11 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/ice40/gtest_include_dir -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/ice40 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/common -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/frontend/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/json/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/rust/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/json11/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/place/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/route/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/oourafft/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/ice40 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui -isystem /gnu/store/r94kayv6y93mj1hgc13k11klha366dsw-eigen-3.4.0/include/eigen3 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtWidgets -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtGui -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtCore -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/lib/qt5/mkspecs/linux-g++ -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -fdiagnostics-color=always -fPIC -MD -MT ice40/CMakeFiles/nextpnr-ice40-test.dir/__/common/place/placer_heap.cc.o -MF CMakeFiles/nextpnr-ice40-test.dir/__/common/place/placer_heap.cc.o.d -o CMakeFiles/nextpnr-ice40-test.dir/__/common/place/placer_heap.cc.o -c /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/place/placer_heap.cc cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/ice40 && /gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DGTEST_LINKED_AS_SHARED_LIBRARY=1 -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DNO_RUST -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -Dnextpnr_ice40_test_EXPORTS -I/gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/include/python3.11 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/ice40/gtest_include_dir -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/ice40 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/common -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/frontend/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/json/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/rust/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/json11/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/place/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/route/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/oourafft/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/ice40 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui -isystem /gnu/store/r94kayv6y93mj1hgc13k11klha366dsw-eigen-3.4.0/include/eigen3 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtWidgets -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtGui -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtCore -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/lib/qt5/mkspecs/linux-g++ -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -fdiagnostics-color=always -fPIC -MD -MT ice40/CMakeFiles/nextpnr-ice40-test.dir/__/common/place/placer_static.cc.o -MF CMakeFiles/nextpnr-ice40-test.dir/__/common/place/placer_static.cc.o.d -o CMakeFiles/nextpnr-ice40-test.dir/__/common/place/placer_static.cc.o -c /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/place/placer_static.cc cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/ice40 && /gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DGTEST_LINKED_AS_SHARED_LIBRARY=1 -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DNO_RUST -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -Dnextpnr_ice40_test_EXPORTS -I/gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/include/python3.11 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/ice40/gtest_include_dir -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/ice40 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/common -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/frontend/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/json/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/rust/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/json11/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/place/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/route/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/oourafft/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/ice40 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui -isystem /gnu/store/r94kayv6y93mj1hgc13k11klha366dsw-eigen-3.4.0/include/eigen3 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtWidgets -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtGui -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtCore -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/lib/qt5/mkspecs/linux-g++ -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -fdiagnostics-color=always -fPIC -MD -MT ice40/CMakeFiles/nextpnr-ice40-test.dir/__/common/place/timing_opt.cc.o -MF CMakeFiles/nextpnr-ice40-test.dir/__/common/place/timing_opt.cc.o.d -o CMakeFiles/nextpnr-ice40-test.dir/__/common/place/timing_opt.cc.o -c /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/place/timing_opt.cc cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/ice40 && /gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DGTEST_LINKED_AS_SHARED_LIBRARY=1 -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DNO_RUST -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -Dnextpnr_ice40_test_EXPORTS -I/gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/include/python3.11 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/ice40/gtest_include_dir -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/ice40 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/common -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/frontend/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/json/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/rust/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/json11/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/place/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/route/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/oourafft/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/ice40 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui -isystem /gnu/store/r94kayv6y93mj1hgc13k11klha366dsw-eigen-3.4.0/include/eigen3 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtWidgets -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtGui -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtCore -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/lib/qt5/mkspecs/linux-g++ -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -fdiagnostics-color=always -fPIC -MD -MT ice40/CMakeFiles/nextpnr-ice40-test.dir/__/common/place/placer1.cc.o -MF CMakeFiles/nextpnr-ice40-test.dir/__/common/place/placer1.cc.o.d -o CMakeFiles/nextpnr-ice40-test.dir/__/common/place/placer1.cc.o -c /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/place/placer1.cc [ 58%] Building CXX object ice40/CMakeFiles/nextpnr-ice40.dir/__/frontend/json_frontend.cc.o cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/ice40 && /gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DNO_RUST -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -Dnextpnr_ice40_EXPORTS -I/gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/include/python3.11 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/ice40 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/common -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/frontend/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/json/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/rust/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/json11/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/place/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/route/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/oourafft/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/ice40 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui -isystem /gnu/store/r94kayv6y93mj1hgc13k11klha366dsw-eigen-3.4.0/include/eigen3 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtWidgets -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtGui -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtCore -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/lib/qt5/mkspecs/linux-g++ -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -fdiagnostics-color=always -fPIC -MD -MT ice40/CMakeFiles/nextpnr-ice40.dir/__/frontend/json_frontend.cc.o -MF CMakeFiles/nextpnr-ice40.dir/__/frontend/json_frontend.cc.o.d -o CMakeFiles/nextpnr-ice40.dir/__/frontend/json_frontend.cc.o -c /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/frontend/json_frontend.cc [ 58%] Building CXX object ice40/CMakeFiles/nextpnr-ice40.dir/__/common/kernel/timing_log.cc.o cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/ice40 && /gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DNO_RUST -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -Dnextpnr_ice40_EXPORTS -I/gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/include/python3.11 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/ice40 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/common -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/frontend/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/json/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/rust/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/json11/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/place/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/route/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/oourafft/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/ice40 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui -isystem /gnu/store/r94kayv6y93mj1hgc13k11klha366dsw-eigen-3.4.0/include/eigen3 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtWidgets -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtGui -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtCore -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/lib/qt5/mkspecs/linux-g++ -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -fdiagnostics-color=always -fPIC -MD -MT ice40/CMakeFiles/nextpnr-ice40.dir/__/common/kernel/timing_log.cc.o -MF CMakeFiles/nextpnr-ice40.dir/__/common/kernel/timing_log.cc.o.d -o CMakeFiles/nextpnr-ice40.dir/__/common/kernel/timing_log.cc.o -c /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/timing_log.cc [ 58%] Building CXX object ice40/CMakeFiles/nextpnr-ice40-test.dir/__/common/place/parallel_refine.cc.o [ 58%] Building CXX object ice40/CMakeFiles/nextpnr-ice40-test.dir/__/common/place/place_common.cc.o cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/ice40 && /gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DGTEST_LINKED_AS_SHARED_LIBRARY=1 -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DNO_RUST -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -Dnextpnr_ice40_test_EXPORTS -I/gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/include/python3.11 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/ice40/gtest_include_dir -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/ice40 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/common -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/frontend/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/json/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/rust/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/json11/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/place/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/route/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/oourafft/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/ice40 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui -isystem /gnu/store/r94kayv6y93mj1hgc13k11klha366dsw-eigen-3.4.0/include/eigen3 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtWidgets -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtGui -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtCore -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/lib/qt5/mkspecs/linux-g++ -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -fdiagnostics-color=always -fPIC -MD -MT ice40/CMakeFiles/nextpnr-ice40-test.dir/__/common/place/place_common.cc.o -MF CMakeFiles/nextpnr-ice40-test.dir/__/common/place/place_common.cc.o.d -o CMakeFiles/nextpnr-ice40-test.dir/__/common/place/place_common.cc.o -c /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/place/place_common.cc cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/ice40 && /gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DGTEST_LINKED_AS_SHARED_LIBRARY=1 -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DNO_RUST -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -Dnextpnr_ice40_test_EXPORTS -I/gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/include/python3.11 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/ice40/gtest_include_dir -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/ice40 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/common -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/frontend/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/json/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/rust/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/json11/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/place/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/route/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/oourafft/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/ice40 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui -isystem /gnu/store/r94kayv6y93mj1hgc13k11klha366dsw-eigen-3.4.0/include/eigen3 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtWidgets -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtGui -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtCore -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/lib/qt5/mkspecs/linux-g++ -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -fdiagnostics-color=always -fPIC -MD -MT ice40/CMakeFiles/nextpnr-ice40-test.dir/__/common/place/parallel_refine.cc.o -MF CMakeFiles/nextpnr-ice40-test.dir/__/common/place/parallel_refine.cc.o.d -o CMakeFiles/nextpnr-ice40-test.dir/__/common/place/parallel_refine.cc.o -c /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/place/parallel_refine.cc [ 59%] Building CXX object ice40/CMakeFiles/nextpnr-ice40-test.dir/__/common/place/detail_place_core.cc.o [ 59%] Building CXX object ice40/CMakeFiles/nextpnr-ice40-test.dir/__/common/kernel/timing.cc.o [ 59%] Building CXX object ice40/CMakeFiles/nextpnr-ice40-test.dir/__/common/kernel/timing_log.cc.o [ 59%] Building CXX object ice40/CMakeFiles/nextpnr-ice40-test.dir/__/json/jsonwrite.cc.o cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/ice40 && /gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DGTEST_LINKED_AS_SHARED_LIBRARY=1 -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DNO_RUST -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -Dnextpnr_ice40_test_EXPORTS -I/gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/include/python3.11 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/ice40/gtest_include_dir -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/ice40 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/common -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/frontend/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/json/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/rust/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/json11/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/place/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/route/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/oourafft/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/ice40 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui -isystem /gnu/store/r94kayv6y93mj1hgc13k11klha366dsw-eigen-3.4.0/include/eigen3 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtWidgets -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtGui -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtCore -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/lib/qt5/mkspecs/linux-g++ -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -fdiagnostics-color=always -fPIC -MD -MT ice40/CMakeFiles/nextpnr-ice40-test.dir/__/common/kernel/timing_log.cc.o -MF CMakeFiles/nextpnr-ice40-test.dir/__/common/kernel/timing_log.cc.o.d -o CMakeFiles/nextpnr-ice40-test.dir/__/common/kernel/timing_log.cc.o -c /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/timing_log.cc cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/ice40 && /gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DGTEST_LINKED_AS_SHARED_LIBRARY=1 -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DNO_RUST -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -Dnextpnr_ice40_test_EXPORTS -I/gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/include/python3.11 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/ice40/gtest_include_dir -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/ice40 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/common -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/frontend/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/json/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/rust/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/json11/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/place/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/route/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/oourafft/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/ice40 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui -isystem /gnu/store/r94kayv6y93mj1hgc13k11klha366dsw-eigen-3.4.0/include/eigen3 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtWidgets -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtGui -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtCore -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/lib/qt5/mkspecs/linux-g++ -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -fdiagnostics-color=always -fPIC -MD -MT ice40/CMakeFiles/nextpnr-ice40-test.dir/__/common/place/detail_place_core.cc.o -MF CMakeFiles/nextpnr-ice40-test.dir/__/common/place/detail_place_core.cc.o.d -o CMakeFiles/nextpnr-ice40-test.dir/__/common/place/detail_place_core.cc.o -c /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/place/detail_place_core.cc cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/ice40 && /gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DGTEST_LINKED_AS_SHARED_LIBRARY=1 -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DNO_RUST -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -Dnextpnr_ice40_test_EXPORTS -I/gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/include/python3.11 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/ice40/gtest_include_dir -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/ice40 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/common -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/frontend/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/json/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/rust/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/json11/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/place/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/route/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/oourafft/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/ice40 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui -isystem /gnu/store/r94kayv6y93mj1hgc13k11klha366dsw-eigen-3.4.0/include/eigen3 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtWidgets -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtGui -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtCore -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/lib/qt5/mkspecs/linux-g++ -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -fdiagnostics-color=always -fPIC -MD -MT ice40/CMakeFiles/nextpnr-ice40-test.dir/__/common/kernel/timing.cc.o -MF CMakeFiles/nextpnr-ice40-test.dir/__/common/kernel/timing.cc.o.d -o CMakeFiles/nextpnr-ice40-test.dir/__/common/kernel/timing.cc.o -c /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/timing.cc cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/ice40 && /gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DGTEST_LINKED_AS_SHARED_LIBRARY=1 -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DNO_RUST -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -Dnextpnr_ice40_test_EXPORTS -I/gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/include/python3.11 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/ice40/gtest_include_dir -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/ice40 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/common -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/frontend/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/json/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/rust/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/json11/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/place/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/route/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/oourafft/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/ice40 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui -isystem /gnu/store/r94kayv6y93mj1hgc13k11klha366dsw-eigen-3.4.0/include/eigen3 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtWidgets -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtGui -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtCore -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/lib/qt5/mkspecs/linux-g++ -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -fdiagnostics-color=always -fPIC -MD -MT ice40/CMakeFiles/nextpnr-ice40-test.dir/__/json/jsonwrite.cc.o -MF CMakeFiles/nextpnr-ice40-test.dir/__/json/jsonwrite.cc.o.d -o CMakeFiles/nextpnr-ice40-test.dir/__/json/jsonwrite.cc.o -c /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/json/jsonwrite.cc [ 59%] Building CXX object ice40/CMakeFiles/nextpnr-ice40-test.dir/__/common/kernel/svg.cc.o cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/ice40 && /gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DGTEST_LINKED_AS_SHARED_LIBRARY=1 -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DNO_RUST -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -Dnextpnr_ice40_test_EXPORTS -I/gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/include/python3.11 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/ice40/gtest_include_dir -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/ice40 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/common -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/frontend/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/json/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/rust/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/json11/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/place/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/route/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/oourafft/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/ice40 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui -isystem /gnu/store/r94kayv6y93mj1hgc13k11klha366dsw-eigen-3.4.0/include/eigen3 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtWidgets -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtGui -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtCore -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/lib/qt5/mkspecs/linux-g++ -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -fdiagnostics-color=always -fPIC -MD -MT ice40/CMakeFiles/nextpnr-ice40-test.dir/__/common/kernel/svg.cc.o -MF CMakeFiles/nextpnr-ice40-test.dir/__/common/kernel/svg.cc.o.d -o CMakeFiles/nextpnr-ice40-test.dir/__/common/kernel/svg.cc.o -c /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/svg.cc [ 59%] Building CXX object ice40/CMakeFiles/nextpnr-ice40-test.dir/__/common/kernel/str_ring_buffer.cc.o [ 59%] Building CXX object ice40/CMakeFiles/nextpnr-ice40-test.dir/__/common/kernel/sdf.cc.o cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/ice40 && /gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DGTEST_LINKED_AS_SHARED_LIBRARY=1 -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DNO_RUST -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -Dnextpnr_ice40_test_EXPORTS -I/gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/include/python3.11 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/ice40/gtest_include_dir -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/ice40 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/common -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/frontend/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/json/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/rust/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/json11/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/place/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/route/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/oourafft/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/ice40 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui -isystem /gnu/store/r94kayv6y93mj1hgc13k11klha366dsw-eigen-3.4.0/include/eigen3 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtWidgets -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtGui -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtCore -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/lib/qt5/mkspecs/linux-g++ -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -fdiagnostics-color=always -fPIC -MD -MT ice40/CMakeFiles/nextpnr-ice40-test.dir/__/common/kernel/str_ring_buffer.cc.o -MF CMakeFiles/nextpnr-ice40-test.dir/__/common/kernel/str_ring_buffer.cc.o.d -o CMakeFiles/nextpnr-ice40-test.dir/__/common/kernel/str_ring_buffer.cc.o -c /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/str_ring_buffer.cc cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/ice40 && /gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DGTEST_LINKED_AS_SHARED_LIBRARY=1 -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DNO_RUST -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -Dnextpnr_ice40_test_EXPORTS -I/gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/include/python3.11 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/ice40/gtest_include_dir -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/ice40 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/common -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/frontend/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/json/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/rust/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/json11/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/place/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/route/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/oourafft/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/ice40 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui -isystem /gnu/store/r94kayv6y93mj1hgc13k11klha366dsw-eigen-3.4.0/include/eigen3 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtWidgets -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtGui -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtCore -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/lib/qt5/mkspecs/linux-g++ -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -fdiagnostics-color=always -fPIC -MD -MT ice40/CMakeFiles/nextpnr-ice40-test.dir/__/common/kernel/sdf.cc.o -MF CMakeFiles/nextpnr-ice40-test.dir/__/common/kernel/sdf.cc.o.d -o CMakeFiles/nextpnr-ice40-test.dir/__/common/kernel/sdf.cc.o -c /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/sdf.cc [ 59%] Building CXX object ice40/CMakeFiles/nextpnr-ice40-test.dir/__/common/kernel/sdc.cc.o cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/ice40 && /gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DGTEST_LINKED_AS_SHARED_LIBRARY=1 -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DNO_RUST -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -Dnextpnr_ice40_test_EXPORTS -I/gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/include/python3.11 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/ice40/gtest_include_dir -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/ice40 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/common -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/frontend/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/json/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/rust/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/json11/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/place/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/route/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/oourafft/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/ice40 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui -isystem /gnu/store/r94kayv6y93mj1hgc13k11klha366dsw-eigen-3.4.0/include/eigen3 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtWidgets -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtGui -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtCore -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/lib/qt5/mkspecs/linux-g++ -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -fdiagnostics-color=always -fPIC -MD -MT ice40/CMakeFiles/nextpnr-ice40-test.dir/__/common/kernel/sdc.cc.o -MF CMakeFiles/nextpnr-ice40-test.dir/__/common/kernel/sdc.cc.o.d -o CMakeFiles/nextpnr-ice40-test.dir/__/common/kernel/sdc.cc.o -c /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/sdc.cc [ 59%] Building CXX object ice40/CMakeFiles/nextpnr-ice40-test.dir/__/common/kernel/pybindings.cc.o [ 60%] Building CXX object ice40/CMakeFiles/nextpnr-ice40-test.dir/__/common/kernel/report.cc.o cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/ice40 && /gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DGTEST_LINKED_AS_SHARED_LIBRARY=1 -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DNO_RUST -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -Dnextpnr_ice40_test_EXPORTS -I/gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/include/python3.11 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/ice40/gtest_include_dir -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/ice40 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/common -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/frontend/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/json/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/rust/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/json11/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/place/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/route/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/oourafft/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/ice40 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui -isystem /gnu/store/r94kayv6y93mj1hgc13k11klha366dsw-eigen-3.4.0/include/eigen3 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtWidgets -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtGui -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtCore -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/lib/qt5/mkspecs/linux-g++ -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -fdiagnostics-color=always -fPIC -MD -MT ice40/CMakeFiles/nextpnr-ice40-test.dir/__/common/kernel/pybindings.cc.o -MF CMakeFiles/nextpnr-ice40-test.dir/__/common/kernel/pybindings.cc.o.d -o CMakeFiles/nextpnr-ice40-test.dir/__/common/kernel/pybindings.cc.o -c /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/pybindings.cc cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/ice40 && /gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DGTEST_LINKED_AS_SHARED_LIBRARY=1 -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DNO_RUST -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -Dnextpnr_ice40_test_EXPORTS -I/gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/include/python3.11 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/ice40/gtest_include_dir -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/ice40 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/common -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/frontend/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/json/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/rust/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/json11/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/place/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/route/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/oourafft/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/ice40 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui -isystem /gnu/store/r94kayv6y93mj1hgc13k11klha366dsw-eigen-3.4.0/include/eigen3 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtWidgets -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtGui -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtCore -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/lib/qt5/mkspecs/linux-g++ -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -fdiagnostics-color=always -fPIC -MD -MT ice40/CMakeFiles/nextpnr-ice40-test.dir/__/common/kernel/report.cc.o -MF CMakeFiles/nextpnr-ice40-test.dir/__/common/kernel/report.cc.o.d -o CMakeFiles/nextpnr-ice40-test.dir/__/common/kernel/report.cc.o -c /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/report.cc [ 60%] Building CXX object ice40/CMakeFiles/nextpnr-ice40-test.dir/__/common/kernel/property.cc.o cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/ice40 && /gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DGTEST_LINKED_AS_SHARED_LIBRARY=1 -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DNO_RUST -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -Dnextpnr_ice40_test_EXPORTS -I/gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/include/python3.11 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/ice40/gtest_include_dir -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/ice40 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/common -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/frontend/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/json/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/rust/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/json11/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/place/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/route/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/oourafft/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/ice40 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui -isystem /gnu/store/r94kayv6y93mj1hgc13k11klha366dsw-eigen-3.4.0/include/eigen3 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtWidgets -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtGui -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtCore -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/lib/qt5/mkspecs/linux-g++ -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -fdiagnostics-color=always -fPIC -MD -MT ice40/CMakeFiles/nextpnr-ice40-test.dir/__/common/kernel/property.cc.o -MF CMakeFiles/nextpnr-ice40-test.dir/__/common/kernel/property.cc.o.d -o CMakeFiles/nextpnr-ice40-test.dir/__/common/kernel/property.cc.o -c /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/property.cc cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/ecp5 && /gnu/store/d5c8i3b549r412lkhddggyfx9mqdphs7-cmake-minimal-3.31.10/bin/cmake -E rename /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/ecp5/chipdb-45k.bba.new /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/ecp5/chipdb-45k.bba cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/ecp5 && /gnu/store/d5c8i3b549r412lkhddggyfx9mqdphs7-cmake-minimal-3.31.10/bin/cmake -E copy /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/ecp5/chipdb-45k.bba /gnu/store/davzxg5m27gz2djfkghkz3pb7ys8gvgi-nextpnr-ice40-0.9-0.ad76625-bba/share/nextpnr/bba-files/ecp5/chipdb-45k.bba [ 60%] Generating chipdb-45k.bin.cc cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/ecp5 && ../bba/bbasm --le --c /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/ecp5/chipdb-45k.bba /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/ecp5/chipdb-45k.bin.cc Generate nodes for TILE[36x40]... Generate nodes for TILE[36x44]... Generate nodes for TILE[36x48]... Generate nodes for TILE[37x16]... Generate nodes for TILE[37x20]... Generate nodes for TILE[37x24]... Generate nodes for TILE[37x26]... Generate nodes for TILE[37x28]... Generate nodes for TILE[37x32]... Generate nodes for TILE[37x36]... Generate nodes for TILE[37x38]... Generate nodes for TILE[37x40]... Generate nodes for TILE[37x44]... Generate nodes for TILE[37x48]... Generate nodes for TILE[38x16]... Generate nodes for TILE[38x20]... Generate nodes for TILE[38x24]... Generate nodes for TILE[38x26]... Generate nodes for TILE[38x28]... Generate nodes for TILE[38x32]... Generate nodes for TILE[38x36]... Generate nodes for TILE[38x38]... Generate nodes for TILE[38x40]... Generate nodes for TILE[38x44]... Generate nodes for TILE[38x48]... Generate nodes for TILE[39x16]... Generate nodes for TILE[39x20]... Generate nodes for TILE[39x24]... Generate nodes for TILE[39x26]... Generate nodes for TILE[39x28]... Generate nodes for TILE[39x32]... Generate nodes for TILE[39x36]... Generate nodes for TILE[39x38]... Generate nodes for TILE[39x40]... Generate nodes for TILE[39x44]... Generate nodes for TILE[39x48]... Generate nodes for TILE[3x12]... Generate nodes for TILE[3x14]... Generate nodes for TILE[3x16]... Generate nodes for TILE[3x20]... Generate nodes for TILE[3x24]... Generate nodes for TILE[3x26]... Generate nodes for TILE[3x28]... Generate nodes for TILE[3x2]... Generate nodes for TILE[3x32]... Generate nodes for TILE[3x36]... Generate nodes for TILE[3x38]... Generate nodes for TILE[3x40]... Generate nodes for TILE[3x44]... Generate nodes for TILE[3x48]... Generate nodes for TILE[3x4]... Generate nodes for TILE[3x8]... Generate nodes for TILE[40x16]... Generate nodes for TILE[40x20]... Generate nodes for TILE[40x24]... Generate nodes for TILE[40x26]... Generate nodes for TILE[40x28]... Generate nodes for TILE[40x32]... Generate nodes for TILE[40x36]... Generate nodes for TILE[40x38]... Generate nodes for TILE[40x40]... Generate nodes for TILE[40x44]... Generate nodes for TILE[40x48]... Generate nodes for TILE[41x16]... Generate nodes for TILE[41x20]... Generate nodes for TILE[41x24]... Generate nodes for TILE[41x26]... Generate nodes for TILE[41x28]... Generate nodes for TILE[41x32]... Generate nodes for TILE[41x36]... Generate nodes for TILE[41x38]... Generate nodes for TILE[41x40]... Generate nodes for TILE[41x44]... Generate nodes for TILE[41x48]... Generate nodes for TILE[42x16]... Generate nodes for TILE[42x20]... Generate nodes for TILE[42x24]... Generate nodes for TILE[42x26]... Generate nodes for TILE[42x28]... Generate nodes for TILE[42x32]... Generate nodes for TILE[42x36]... Generate nodes for TILE[42x38]... Generate nodes for TILE[42x40]... Generate nodes for TILE[42x44]... Generate nodes for TILE[42x48]... Generate nodes for TILE[43x16]... Generate nodes for TILE[43x20]... Generate nodes for TILE[43x24]... Generate nodes for TILE[43x26]... Generate nodes for TILE[43x28]... Generate nodes for TILE[43x32]... Generate nodes for TILE[43x36]... Generate nodes for TILE[43x38]... Generate nodes for TILE[43x40]... Generate nodes for TILE[43x44]... Generate nodes for TILE[43x48]... Generate nodes for TILE[44x16]... Generate nodes for TILE[44x20]... Generate nodes for TILE[44x24]... Generate nodes for TILE[44x26]... Generate nodes for TILE[44x28]... Generate nodes for TILE[44x32]... Generate nodes for TILE[44x36]... Generate nodes for TILE[44x38]... Generate nodes for TILE[44x40]... Generate nodes for TILE[44x44]... Generate nodes for TILE[44x48]... Generate nodes for TILE[45x16]... Generate nodes for TILE[45x20]... Generate nodes for TILE[45x24]... Generate nodes for TILE[45x26]... Generate nodes for TILE[45x28]... Generate nodes for TILE[45x32]... Generate nodes for TILE[45x36]... Generate nodes for TILE[45x38]... Generate nodes for TILE[45x40]... Generate nodes for TILE[45x44]... Generate nodes for TILE[45x48]... Generate nodes for TILE[46x16]... Generate nodes for TILE[46x20]... Generate nodes for TILE[46x24]... Generate nodes for TILE[46x26]... Generate nodes for TILE[46x28]... Generate nodes for TILE[46x32]... Generate nodes for TILE[46x36]... Generate nodes for TILE[46x38]... Generate nodes for TILE[46x40]... Generate nodes for TILE[46x44]... Generate nodes for TILE[46x48]... Generate nodes for TILE[47x16]... Generate nodes for TILE[47x20]... Generate nodes for TILE[47x24]... Generate nodes for TILE[47x26]... Generate nodes for TILE[47x28]... Generate nodes for TILE[47x32]... Generate nodes for TILE[47x36]... Generate nodes for TILE[47x38]... Generate nodes for TILE[47x40]... Generate nodes for TILE[47x44]... Generate nodes for TILE[47x48]... Generate nodes for TILE[48x16]... Generate nodes for TILE[48x20]... Generate nodes for TILE[48x24]... Generate nodes for TILE[48x26]... Generate nodes for TILE[48x28]... Generate nodes for TILE[48x32]... Generate nodes for TILE[48x36]... Generate nodes for TILE[48x38]... Generate nodes for TILE[48x40]... Generate nodes for TILE[48x44]... Generate nodes for TILE[48x48]... Generate nodes for TILE[49x16]... Generate nodes for TILE[49x20]... Generate nodes for TILE[49x24]... Generate nodes for TILE[49x26]... Generate nodes for TILE[49x28]... Generate nodes for TILE[49x32]... Generate nodes for TILE[49x36]... Generate nodes for TILE[49x38]... Generate nodes for TILE[49x40]... Generate nodes for TILE[49x44]... Generate nodes for TILE[49x48]... Generate nodes for TILE[4x12]... Generate nodes for TILE[4x14]... Generate nodes for TILE[4x16]... Generate nodes for TILE[4x20]... Generate nodes for TILE[4x24]... Generate nodes for TILE[4x26]... Generate nodes for TILE[4x28]... Generate nodes for TILE[4x2]... Generate nodes for TILE[4x32]... Generate nodes for TILE[4x36]... Generate nodes for TILE[4x38]... Generate nodes for TILE[4x40]... Generate nodes for TILE[4x44]... Generate nodes for TILE[4x48]... Generate nodes for TILE[4x4]... Generate nodes for TILE[4x8]... Generate nodes for TILE[50x16]... Generate nodes for TILE[50x20]... Generate nodes for TILE[50x24]... Generate nodes for TILE[50x26]... Generate nodes for TILE[50x28]... Generate nodes for TILE[50x32]... Generate nodes for TILE[50x36]... Generate nodes for TILE[50x38]... Generate nodes for TILE[50x40]... Generate nodes for TILE[50x44]... Generate nodes for TILE[50x48]... Generate nodes for TILE[51x16]... Generate nodes for TILE[51x20]... Generate nodes for TILE[51x24]... Generate nodes for TILE[51x26]... Generate nodes for TILE[51x28]... Generate nodes for TILE[51x32]... Generate nodes for TILE[51x36]... Generate nodes for TILE[51x38]... Generate nodes for TILE[51x40]... Generate nodes for TILE[51x44]... Generate nodes for TILE[51x48]... Generate nodes for TILE[52x16]... Generate nodes for TILE[52x20]... Generate nodes for TILE[52x24]... Generate nodes for TILE[52x26]... Generate nodes for TILE[52x28]... Generate nodes for TILE[52x32]... Generate nodes for TILE[52x36]... Generate nodes for TILE[52x38]... Generate nodes for TILE[52x40]... Generate nodes for TILE[52x44]... Generate nodes for TILE[52x48]... Generate nodes for TILE[53x16]... Generate nodes for TILE[53x20]... Generate nodes for TILE[53x24]... Generate nodes for TILE[53x26]... Generate nodes for TILE[53x28]... Generate nodes for TILE[53x32]... Generate nodes for TILE[53x36]... Generate nodes for TILE[53x38]... Generate nodes for TILE[53x40]... Generate nodes for TILE[53x44]... Generate nodes for TILE[53x48]... Generate nodes for TILE[54x16]... Generate nodes for TILE[54x20]... Generate nodes for TILE[54x24]... Generate nodes for TILE[54x26]... Generate nodes for TILE[54x28]... Generate nodes for TILE[54x32]... Generate nodes for TILE[54x36]... Generate nodes for TILE[54x38]... Generate nodes for TILE[54x40]... Generate nodes for TILE[54x44]... Generate nodes for TILE[54x48]... Generate nodes for TILE[55x16]... Generate nodes for TILE[55x20]... Generate nodes for TILE[55x24]... Generate nodes for TILE[55x26]... Generate nodes for TILE[55x28]... Generate nodes for TILE[55x32]... Generate nodes for TILE[55x36]... Generate nodes for TILE[55x38]... Generate nodes for TILE[55x40]... Generate nodes for TILE[55x44]...[ 60%] Linking CXX executable ../nextpnr-ice40 cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/ice40 && /gnu/store/d5c8i3b549r412lkhddggyfx9mqdphs7-cmake-minimal-3.31.10/bin/cmake -E cmake_link_script CMakeFiles/nextpnr-ice40.dir/link.txt --verbose=1 /gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/bin/c++ -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -Wl,--export-dynamic -rdynamic -Wl,--dependency-file=CMakeFiles/nextpnr-ice40.dir/link.d "CMakeFiles/nextpnr-ice40.dir/main.cc.o" "CMakeFiles/nextpnr-ice40.dir/arch.cc.o" "CMakeFiles/nextpnr-ice40.dir/arch_place.cc.o" "CMakeFiles/nextpnr-ice40.dir/arch_pybindings.cc.o" "CMakeFiles/nextpnr-ice40.dir/bitstream.cc.o" "CMakeFiles/nextpnr-ice40.dir/cells.cc.o" "CMakeFiles/nextpnr-ice40.dir/chains.cc.o" "CMakeFiles/nextpnr-ice40.dir/delay.cc.o" "CMakeFiles/nextpnr-ice40.dir/gfx.cc.o" "CMakeFiles/nextpnr-ice40.dir/pack.cc.o" "CMakeFiles/nextpnr-ice40.dir/pcf.cc.o" "CMakeFiles/nextpnr-ice40.dir/__/common/kernel/archcheck.cc.o" "CMakeFiles/nextpnr-ice40.dir/__/common/kernel/basectx.cc.o" "CMakeFiles/nextpnr-ice40.dir/__/common/kernel/bits.cc.o" "CMakeFiles/nextpnr-ice40.dir/__/common/kernel/command.cc.o" "CMakeFiles/nextpnr-ice40.dir/__/common/kernel/context.cc.o" "CMakeFiles/nextpnr-ice40.dir/__/common/kernel/design_utils.cc.o" "CMakeFiles/nextpnr-ice40.dir/__/common/kernel/embed.cc.o" "CMakeFiles/nextpnr-ice40.dir/__/common/kernel/handle_error.cc.o" "CMakeFiles/nextpnr-ice40.dir/__/common/kernel/idstring.cc.o" "CMakeFiles/nextpnr-ice40.dir/__/common/kernel/idstringlist.cc.o" "CMakeFiles/nextpnr-ice40.dir/__/common/kernel/log.cc.o" "CMakeFiles/nextpnr-ice40.dir/__/common/kernel/nextpnr_assertions.cc.o" "CMakeFiles/nextpnr-ice40.dir/__/common/kernel/nextpnr.cc.o" "CMakeFiles/nextpnr-ice40.dir/__/common/kernel/nextpnr_namespaces.cc.o" "CMakeFiles/nextpnr-ice40.dir/__/common/kernel/nextpnr_types.cc.o" "CMakeFiles/nextpnr-ice40.dir/__/common/kernel/property.cc.o" "CMakeFiles/nextpnr-ice40.dir/__/common/kernel/pybindings.cc.o" "CMakeFiles/nextpnr-ice40.dir/__/common/kernel/report.cc.o" "CMakeFiles/nextpnr-ice40.dir/__/common/kernel/sdc.cc.o" "CMakeFiles/nextpnr-ice40.dir/__/common/kernel/sdf.cc.o" "CMakeFiles/nextpnr-ice40.dir/__/common/kernel/str_ring_buffer.cc.o" "CMakeFiles/nextpnr-ice40.dir/__/common/kernel/svg.cc.o" "CMakeFiles/nextpnr-ice40.dir/__/common/kernel/timing.cc.o" "CMakeFiles/nextpnr-ice40.dir/__/common/kernel/timing_log.cc.o" "CMakeFiles/nextpnr-ice40.dir/__/frontend/json_frontend.cc.o" "CMakeFiles/nextpnr-ice40.dir/__/json/jsonwrite.cc.o" "CMakeFiles/nextpnr-ice40.dir/__/common/place/detail_place_core.cc.o" "CMakeFiles/nextpnr-ice40.dir/__/common/place/parallel_refine.cc.o" "CMakeFiles/nextpnr-ice40.dir/__/common/place/place_common.cc.o" "CMakeFiles/nextpnr-ice40.dir/__/common/place/placer1.cc.o" "CMakeFiles/nextpnr-ice40.dir/__/common/place/placer_heap.cc.o" "CMakeFiles/nextpnr-ice40.dir/__/common/place/placer_static.cc.o" "CMakeFiles/nextpnr-ice40.dir/__/common/place/timing_opt.cc.o" "CMakeFiles/nextpnr-ice40.dir/__/common/route/router1.cc.o" "CMakeFiles/nextpnr-ice40.dir/__/common/route/router2.cc.o" "CMakeFiles/nextpnr-ice40.dir/__/3rdparty/oourafft/fftsg.cc.o" "CMakeFiles/nextpnr-ice40.dir/__/3rdparty/oourafft/fftsg2d.cc.o" "CMakeFiles/nextpnr-ice40.dir/chipdb-384.bin.cc.o" "CMakeFiles/nextpnr-ice40.dir/chipdb-1k.bin.cc.o" "CMakeFiles/nextpnr-ice40.dir/chipdb-5k.bin.cc.o" "CMakeFiles/nextpnr-ice40.dir/chipdb-u4k.bin.cc.o" "CMakeFiles/nextpnr-ice40.dir/chipdb-8k.bin.cc.o" "gui/CMakeFiles/nextpnr-ice40-gui.dir/nextpnr-ice40-gui_autogen/mocs_compilation.cpp.o" "gui/CMakeFiles/nextpnr-ice40-gui.dir/application.cc.o" "gui/CMakeFiles/nextpnr-ice40-gui.dir/basewindow.cc.o" "gui/CMakeFiles/nextpnr-ice40-gui.dir/designwidget.cc.o" "gui/CMakeFiles/nextpnr-ice40-gui.dir/fpgaviewwidget.cc.o" "gui/CMakeFiles/nextpnr-ice40-gui.dir/line_editor.cc.o" "gui/CMakeFiles/nextpnr-ice40-gui.dir/lineshader.cc.o" "gui/CMakeFiles/nextpnr-ice40-gui.dir/pyconsole.cc.o" "gui/CMakeFiles/nextpnr-ice40-gui.dir/pythontab.cc.o" "gui/CMakeFiles/nextpnr-ice40-gui.dir/treemodel.cc.o" "gui/CMakeFiles/nextpnr-ice40-gui.dir/worker.cc.o" "gui/CMakeFiles/nextpnr-ice40-gui.dir/ice40/mainwindow.cc.o" "gui/CMakeFiles/nextpnr-ice40-gui.dir/qrc_base.cpp.o" "gui/CMakeFiles/nextpnr-ice40-gui.dir/qrc_nextpnr.cpp.o" "gui/CMakeFiles/nextpnr-ice40-gui.di[ 61%] Linking CXX executable ../nextpnr-ice40-test cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/ice40 && /gnu/store/d5c8i3b549r412lkhddggyfx9mqdphs7-cmake-minimal-3.31.10/bin/cmake -E cmake_link_script CMakeFiles/nextpnr-ice40-test.dir/link.txt --verbose=1 /gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/bin/c++ -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -Wl,--export-dynamic -rdynamic -Wl,--dependency-file=CMakeFiles/nextpnr-ice40-test.dir/link.d "CMakeFiles/nextpnr-ice40-test.dir/tests/hx1k.cc.o" "CMakeFiles/nextpnr-ice40-test.dir/tests/hx8k.cc.o" "CMakeFiles/nextpnr-ice40-test.dir/tests/lp1k.cc.o" "CMakeFiles/nextpnr-ice40-test.dir/tests/lp384.cc.o" "CMakeFiles/nextpnr-ice40-test.dir/tests/lp8k.cc.o" "CMakeFiles/nextpnr-ice40-test.dir/tests/main.cc.o" "CMakeFiles/nextpnr-ice40-test.dir/tests/up5k.cc.o" "CMakeFiles/nextpnr-ice40-test.dir/arch.cc.o" "CMakeFiles/nextpnr-ice40-test.dir/arch_place.cc.o" "CMakeFiles/nextpnr-ice40-test.dir/arch_pybindings.cc.o" "CMakeFiles/nextpnr-ice40-test.dir/bitstream.cc.o" "CMakeFiles/nextpnr-ice40-test.dir/cells.cc.o" "CMakeFiles/nextpnr-ice40-test.dir/chains.cc.o" "CMakeFiles/nextpnr-ice40-test.dir/delay.cc.o" "CMakeFiles/nextpnr-ice40-test.dir/gfx.cc.o" "CMakeFiles/nextpnr-ice40-test.dir/pack.cc.o" "CMakeFiles/nextpnr-ice40-test.dir/pcf.cc.o" "CMakeFiles/nextpnr-ice40-test.dir/__/common/kernel/archcheck.cc.o" "CMakeFiles/nextpnr-ice40-test.dir/__/common/kernel/basectx.cc.o" "CMakeFiles/nextpnr-ice40-test.dir/__/common/kernel/bits.cc.o" "CMakeFiles/nextpnr-ice40-test.dir/__/common/kernel/command.cc.o" "CMakeFiles/nextpnr-ice40-test.dir/__/common/kernel/context.cc.o" "CMakeFiles/nextpnr-ice40-test.dir/__/common/kernel/design_utils.cc.o" "CMakeFiles/nextpnr-ice40-test.dir/__/common/kernel/embed.cc.o" "CMakeFiles/nextpnr-ice40-test.dir/__/common/kernel/handle_error.cc.o" "CMakeFiles/nextpnr-ice40-test.dir/__/common/kernel/idstring.cc.o" "CMakeFiles/nextpnr-ice40-test.dir/__/common/kernel/idstringlist.cc.o" "CMakeFiles/nextpnr-ice40-test.dir/__/common/kernel/log.cc.o" "CMakeFiles/nextpnr-ice40-test.dir/__/common/kernel/nextpnr_assertions.cc.o" "CMakeFiles/nextpnr-ice40-test.dir/__/common/kernel/nextpnr.cc.o" "CMakeFiles/nextpnr-ice40-test.dir/__/common/kernel/nextpnr_namespaces.cc.o" "CMakeFiles/nextpnr-ice40-test.dir/__/common/kernel/nextpnr_types.cc.o" "CMakeFiles/nextpnr-ice40-test.dir/__/common/kernel/property.cc.o" "CMakeFiles/nextpnr-ice40-test.dir/__/common/kernel/pybindings.cc.o" "CMakeFiles/nextpnr-ice40-test.dir/__/common/kernel/report.cc.o" "CMakeFiles/nextpnr-ice40-test.dir/__/common/kernel/sdc.cc.o" "CMakeFiles/nextpnr-ice40-test.dir/__/common/kernel/sdf.cc.o" "CMakeFiles/nextpnr-ice40-test.dir/__/common/kernel/str_ring_buffer.cc.o" "CMakeFiles/nextpnr-ice40-test.dir/__/common/kernel/svg.cc.o" "CMakeFiles/nextpnr-ice40-test.dir/__/common/kernel/timing.cc.o" "CMakeFiles/nextpnr-ice40-test.dir/__/common/kernel/timing_log.cc.o" "CMakeFiles/nextpnr-ice40-test.dir/__/frontend/json_frontend.cc.o" "CMakeFiles/nextpnr-ice40-test.dir/__/json/jsonwrite.cc.o" "CMakeFiles/nextpnr-ice40-test.dir/__/common/place/detail_place_core.cc.o" "CMakeFiles/nextpnr-ice40-test.dir/__/common/place/parallel_refine.cc.o" "CMakeFiles/nextpnr-ice40-test.dir/__/common/place/place_common.cc.o" "CMakeFiles/nextpnr-ice40-test.dir/__/common/place/placer1.cc.o" "CMakeFiles/nextpnr-ice40-test.dir/__/common/place/placer_heap.cc.o" "CMakeFiles/nextpnr-ice40-test.dir/__/common/place/placer_static.cc.o" "CMakeFiles/nextpnr-ice40-test.dir/__/common/place/timing_opt.cc.o" "CMakeFiles/nextpnr-ice40-test.dir/__/common/route/router1.cc.o" "CMakeFiles/nextpnr-ice40-test.dir/__/common/route/router2.cc.o" "CMakeFiles/nextpnr-ice40-test.dir/__/3rdparty/oourafft/fftsg.cc.o" "CMakeFiles/nextpnr-ice40-test.dir/__/3rdparty/oourafft/fftsg2d.cc.o" "CMakeFiles/nextpnr-ice40-test.dir/chipdb-384.bin.cc.o" "CMakeFiles/nextpnr-ice40-test.dir/chipdb-1k.bin.cc.o" "CMakeFiles/nextpnr-ice40-test.dir/chipdb-5k.bin.cc.o" "CMakeFiles/nextpnr-ice40-test.dir/chipdb-u4k.bin.cc.o" "CMakeFiles/nextpnr-ice40-test.dir/chipdb-8k.bin.cc.o" "CMakeFiles/nextpnr-ice40-test.dir/__/tests/gui/quadtree.cc.o" "gui/CMakeFiles/nextpnr-ice40-gui.dir/nextpnr-ice40-gui_autogen/mocs_compilation.cpp.o" "gui/CMakeFiles/nextpnr-ice40-gui.dir/application.cc.o" "gui/CMakeFiles/nextpnr-ice40-gui.dir/basewindow.cc Generate nodes for TILE[55x48]... Generate nodes for TILE[56x16]... Generate nodes for TILE[56x20]... Generate nodes for TILE[56x24]... Generate nodes for TILE[56x26]... Generate nodes for TILE[56x28]... Generate nodes for TILE[56x32]... Generate nodes for TILE[56x36]... Generate nodes for TILE[56x38]... Generate nodes for TILE[56x40]... Generate nodes for TILE[56x44]... Generate nodes for TILE[56x48]... Generate nodes for TILE[57x16]... Generate nodes for TILE[57x20]... Generate nodes for TILE[57x24]... Generate nodes for TILE[57x26]... Generate nodes for TILE[57x28]... Generate nodes for TILE[57x32]... Generate nodes for TILE[57x36]... Generate nodes for TILE[57x38]... Generate nodes for TILE[57x40]... Generate nodes for TILE[57x44]... Generate nodes for TILE[57x48]... Generate nodes for TILE[58x16]... Generate nodes for TILE[58x20]... Generate nodes for TILE[58x24]... Generate nodes for TILE[58x26]... Generate nodes for TILE[58x28]... Generate nodes for TILE[58x32]... Generate nodes for TILE[58x36]... Generate nodes for TILE[58x38]... Generate nodes for TILE[58x40]... Generate nodes for TILE[58x44]... Generate nodes for TILE[58x48]... Generate nodes for TILE[59x16]... Generate nodes for TILE[59x20]... Generate nodes for TILE[59x24]... Generate nodes for TILE[59x26]... Generate nodes for TILE[59x28]... Generate nodes for TILE[59x32]... Generate nodes for TILE[59x36]... Generate nodes for TILE[59x38]... Generate nodes for TILE[59x40]... Generate nodes for TILE[59x44]... Generate nodes for TILE[59x48]... Generate nodes for TILE[5x12]... Generate nodes for TILE[5x14]... Generate nodes for TILE[5x16]... Generate nodes for TILE[5x20]... Generate nodes for TILE[5x24]... Generate nodes for TILE[5x26]... Generate nodes for TILE[5x28]... Generate nodes for TILE[5x2]... Generate nodes for TILE[5x32]... Generate nodes for TILE[5x36]... Generate nodes for TILE[5x38]... Generate nodes for TILE[5x40]... Generate nodes for TILE[5x44]... Generate nodes for TILE[5x48]... Generate nodes for TILE[5x4]... Generate nodes for TILE[5x8]... Generate nodes for TILE[60x16]... Generate nodes for TILE[60x20]... Generate nodes for TILE[60x24]... Generate nodes for TILE[60x26]... Generate nodes for TILE[60x28]... Generate nodes for TILE[60x32]... Generate nodes for TILE[60x36]... Generate nodes for TILE[60x38]... Generate nodes for TILE[60x40]... Generate nodes for TILE[60x44]... Generate nodes for TILE[60x48]... Generate nodes for TILE[61x12]... Generate nodes for TILE[61x14]... Generate nodes for TILE[61x16]... Generate nodes for TILE[61x20]... Generate nodes for TILE[61x24]... Generate nodes for TILE[61x26]... Generate nodes for TILE[61x28]... Generate nodes for TILE[61x2]... Generate nodes for TILE[61x32]... Generate nodes for TILE[61x36]... Generate nodes for TILE[61x38]... Generate nodes for TILE[61x40]... Generate nodes for TILE[61x44]... Generate nodes for TILE[61x48]... Generate nodes for TILE[61x4]... Generate nodes for TILE[61x8]... Generate nodes for TILE[62x12]... Generate nodes for TILE[62x14]... Generate nodes for TILE[62x16]... Generate nodes for TILE[62x20]... Generate nodes for TILE[62x24]... Generate nodes for TILE[62x26]... Generate nodes for TILE[62x28]... Generate nodes for TILE[62x2]... Generate nodes for TILE[62x32]... Generate nodes for TILE[62x36]... Generate nodes for TILE[62x38]... Generate nodes for TILE[62x40]... Generate nodes for TILE[62x44]... Generate nodes for TILE[62x48]... Generate nodes for TILE[62x4]... Generate nodes for TILE[62x8]... Generate nodes for TILE[63x12]... Generate nodes for TILE[63x14]... Generate nodes for TILE[63x16]... Generate nodes for TILE[63x20]... Generate nodes for TILE[63x24]... Generate nodes for TILE[63x26]... Generate nodes for TILE[63x28]... Generate nodes for TILE[63x2]... Generate nodes for TILE[63x32]... Generate nodes for TILE[63x36]... Generate nodes for TILE[63x38]... Generate nodes for TILE[63x40]... Generate nodes for TILE[63x44]... Generate nodes for TILE[63x48]... Generate nodes for TILE[63x4]... Generate nodes for TILE[63x8]... Generate nodes for TILE[64x12]... Generate nodes for TILE[64x14]... Generate nodes for TILE[64x16]... Generate nodes for TILE[64x20]... Generate nodes for TILE[64x24]... Generate nodes for TILE[64x26]... Generate nodes for TILE[64x28]... Generate nodes for TILE[64x2]... Generate nodes for TILE[64x32]... Generate nodes for TILE[64x36]... Generate nodes for TILE[64x38]... Generate nodes for TILE[64x40]... Generate nodes for TILE[64x44]... Generate nodes for TILE[64x48]... Generate nodes for TILE[64x4]... Generate nodes for TILE[64x8]... Generate nodes for TILE[65x12]... Generate nodes for TILE[65x14]... Generate nodes for TILE[65x16]... Generate nodes for TILE[65x20]... Generate nodes for TILE[65x24]... Generate nodes for TILE[65x26]... Generate nodes for TILE[65x28]... Generate nodes for TILE[65x2]... Generate nodes for TILE[65x32]... Generate nodes for TILE[65x36]... Generate nodes for TILE[65x38]... Generate nodes for TILE[65x40]... Generate nodes for TILE[65x44]... Generate nodes for TILE[65x48]... Generate nodes for TILE[65x4]... Generate nodes for TILE[65x8]... Generate nodes for TILE[66x12]... Generate nodes for TILE[66x14]... Generate nodes for TILE[66x16]... Generate nodes for TILE[66x20]... Generate nodes for TILE[66x24]... Generate nodes for TILE[66x26]... Generate nodes for TILE[66x28]... Generate nodes for TILE[66x2]... Generate nodes for TILE[66x32]... Generate nodes for TILE[66x36]... Generate nodes for TILE[66x38]... Generate nodes for TILE[66x40]... Generate nodes for TILE[66x44]... Generate nodes for TILE[66x48]... Generate nodes for TILE[66x4]... Generate nodes for TILE[66x8]... Generate nodes for TILE[67x12]... Generate nodes for TILE[67x14]... Generate nodes for TILE[67x16]... Generate nodes for TILE[67x20]... Generate nodes for TILE[67x24]... Generate nodes for TILE[67x26]... Generate nodes for TILE[67x28]... Generate nodes for TILE[67x2]... Generate nodes for TILE[67x32]... Generate nodes for TILE[67x36]... Generate nodes for TILE[67x38]... Generate nodes for TILE[67x40]... Generate nodes for TILE[67x44]... Generate nodes for TILE[67x48]... Generate nodes for TILE[67x4]... Generate nodes for TILE[67x8]... Generate nodes for TILE[68x12]... Generate nodes for TILE[68x14]... Generate nodes for TILE[68x16]... Generate nodes for TILE[68x20]... Generate nodes for TILE[68x24]... Generate nodes for TILE[68x26]... Generate nodes for TILE[68x28]... Generate nodes for TILE[68x2]... Generate nodes for TILE[68x32]... Generate nodes for TILE[68x36]... Generate nodes for TILE[68x38]... Generate nodes for TILE[68x40]... Generate nodes for TILE[68x44]... Generate nodes for TILE[68x48]... Generate nodes for TILE[68x4]... Generate nodes for TILE[68x8]... Generate nodes for TILE[69x12]... Generate nodes for TILE[69x14]... Generate nodes for TILE[69x16]... Generate nodes for TILE[69x20]... Generate nodes for TILE[69x24]... Generate nodes for TILE[69x26]... Generate nodes for TILE[69x28]... Generate nodes for TILE[69x2]... Generate nodes for TILE[69x32]... Generate nodes for TILE[69x36]... Generate nodes for TILE[69x38]... Generate nodes for TILE[69x40]... Generate nodes for TILE[69x44]... Generate nodes for TILE[69x48]... Generate nodes for TILE[69x4]... Generate nodes for TILE[69x8]... Generate nodes for TILE[6x12]... Generate nodes for TILE[6x14]... Generate nodes for TILE[6x16]... Generate nodes for TILE[6x20]... Generate nodes for TILE[6x24]... Generate nodes for TILE[6x26]... Generate nodes for TILE[6x28]... Generate nodes for TILE[6x2]... Generate nodes for TILE[6x32]... Generate nodes for TILE[6x36]... Generate nodes for TILE[6x38]... Generate nodes for TILE[6x40]... Generate nodes for TILE[6x44]... Generate nodes for TILE[6x48]... Generate nodes for TILE[6x4]... Generate nodes for TILE[6x8]... Generate nodes for TILE[70x12]... Generate nodes for TILE[70x14]... Generate nodes for TILE[70x16]... Generate nodes for TILE[70x20]... Generate nodes for TILE[70x24]... Generate nodes for TILE[70x26]... Generate nodes for TILE[70x28]... Generate nodes for TILE[70x2]... Generate nodes for TILE[70x32]... Generate nodes for TILE[70x36]... Generate nodes for TILE[70x38]... Generate nodes for TILE[70x40]... Generate nodes for TILE[70x44]... Generate nodes for TILE[70x48]... Generate nodes for TILE[70x4]... Generate nodes for TILE[70x8]... Generate nodes for TILE[71x12]... Generate nodes for TILE[71x14]... Generate nodes for TILE[71x16]... Generate nodes for TILE[71x20]... Generate nodes for TILE[71x24]... Generate nodes for TILE[71x26]... Generate nodes for TILE[71x28]... Generate nodes for TILE[71x2]... Generate nodes for TILE[71x32]... Generate nodes for TILE[71x36]... Generate nodes for TILE[71x38]... Generate nodes for TILE[71x40]... Generate nodes for TILE[71x44]... Generate nodes for TILE[71x48]... Generate nodes for TILE[71x4]... Generate nodes for TILE[71x8]... Generate nodes for TILE[72x12]... Generate nodes for TILE[72x14]... Generate nodes for TILE[72x16]... Generate nodes for TILE[72x20]... Generate nodes for TILE[72x24]... Generate nodes for TILE[72x26]... Generate nodes for TILE[72x28]... Generate nodes for TILE[72x2]... Generate nodes for TILE[72x32]... Generate nodes for TILE[72x36]... Generate nodes for TILE[72x38]... Generate nodes for TILE[72x40]... Generate nodes for TILE[72x44]... Generate nodes for TILE[72x48]... Generate nodes for TILE[72x4]... Generate nodes for TILE[72x8]... Generate nodes for TILE[73x12]... Generate nodes for TILE[73x14]... Generate nodes for TILE[73x16]... Generate nodes for TILE[73x20]... Generate nodes for TILE[73x24]... Generate nodes for TILE[73x26]... Generate nodes for TILE[73x28]... Generate nodes for TILE[73x2]... Generate nodes for TILE[73x32]... Generate nodes for TILE[73x36]... Generate nodes for TILE[73x38]... Generate nodes for TILE[73x40]... Generate nodes for TILE[73x44]... Generate nodes for TILE[73x48]... Generate nodes for TILE[73x4]... Generate nodes for TILE[73x8]... Generate nodes for TILE[74x12]... Generate nodes for TILE[74x14]... Generate nodes for TILE[74x16]... Generate nodes for TILE[74x20]... Generate nodes for TILE[74x24]... Generate nodes for TILE[74x26]... Generate nodes for TILE[74x28]... Generate nodes for TILE[74x2]... Generate nodes for TILE[74x32]... Generate nodes for TILE[74x36]... Generate nodes for TILE[74x38]... Generate nodes for TILE[74x40]... Generate nodes for TILE[74x44]... Generate nodes for TILE[74x48]... Generate nodes for TILE[74x4]... Generate nodes for TILE[74x8]... Generate nodes for TILE[75x12]... Generate nodes for TILE[75x14]... Generate nodes for TILE[75x16]... Generate nodes for TILE[75x20]... Generate nodes for TILE[75x24]... Generate nodes for TILE[75x26]... Generate nodes for TILE[75x28]... Generate nodes for TILE[75x2]... Generate nodes for TILE[75x32]... Generate nodes for TILE[75x36]... Generate nodes for TILE[75x38]... Generate nodes for TILE[75x40]... Generate nodes for TILE[75x44]... Generate nodes for TILE[75x48]... Generate nodes for TILE[75x4]... Generate nodes for TILE[75x8]... Generate nodes for TILE[76x12]... Generate nodes for TILE[76x14]... Generate nodes for TILE[76x16]... Generate nodes for TILE[76x20]... Generate nodes for TILE[76x24]... Generate nodes for TILE[76x26]... Generate nodes for TILE[76x28]... Generate nodes for TILE[76x2]... Generate nodes for TILE[76x32]... Generate nodes for TILE[76x36]... Generate nodes for TILE[76x38]... Generate nodes for TILE[76x40]... Generate nodes for TILE[76x44]... Generate nodes for TILE[76x48]... Generate nodes for TILE[76x4]... Generate nodes for TILE[76x8]... Generate nodes for TILE[77x12]... Generate nodes for TILE[77x14]... Generate nodes for TILE[77x16]... Generate nodes for TILE[77x20]... Generate nodes for TILE[77x24]... Generate nodes for TILE[77x26]... Generate nodes for TILE[77x28]... Generate nodes for TILE[77x2]... Generate nodes for TILE[77x32]... Generate nodes for TILE[77x36]... Generate nodes for TILE[77x38]... Generate nodes for TILE[77x40]... Generate nodes for TILE[77x44]... Generate nodes for TILE[77x48]... Generate nodes for TILE[77x4]... Generate nodes for TILE[77x8]... Generate nodes for TILE[78x12]... Generate nodes for TILE[78x14]... Generate nodes for TILE[78x16]... Generate nodes for TILE[78x20]... Generate nodes for TILE[78x24]... Generate nodes for TILE[78x26]... Generate nodes for TILE[78x28]... Generate nodes for TILE[78x2]... Generate nodes for TILE[78x32]... Generate nodes for TILE[78x36]... Generate nodes for TILE[78x38]... Generate nodes for TILE[78x40]... Generate nodes for TILE[78x44]... Generate nodes for TILE[78x48]... Generate nodes for TILE[78x4]... Generate nodes for TILE[78x8]... Generate nodes for TILE[79x12]... Generate nodes for TILE[79x14]... Generate nodes for TILE[79x16]... Generate nodes for TILE[79x20]... Generate nodes for TILE[79x24]... Generate nodes for TILE[79x26]... Generate nodes for TILE[79x28]... Generate nodes for TILE[79x2]... Generate nodes for TILE[79x32]... Generate nodes for TILE[79x36]... Generate nodes for TILE[79x38]... Generate nodes for TILE[79x40]... Generate nodes for TILE[79x44]... Generate nodes for TILE[79x48]... Generate nodes for TILE[79x4]... Generate nodes for TILE[79x8]... Generate nodes for TILE[7x12]... Generate nodes for TILE[7x14]... Generate nodes for TILE[7x16]... Generate nodes for TILE[7x20]... Generate nodes for TILE[7x24]... Generate nodes for TILE[7x26]... Generate nodes for TILE[7x28]... Generate nodes for TILE[7x2]... Generate nodes for TILE[7x32]... Generate nodes for TILE[7x36]... Generate nodes for TILE[7x38]... Generate nodes for TILE[7x40]... Generate nodes for TILE[7x44]... Generate nodes for TILE[7x48]... Generate nodes for TILE[7x4]... Generate nodes for TILE[7x8]... Generate nodes for TILE[80x12]... Generate nodes for TILE[80x14]... Generate nodes for TILE[80x16]... Generate nodes for TILE[80x20]... Generate nodes for TILE[80x24]... Generate nodes for TILE[80x26]... Generate nodes for TILE[80x28]... Generate nodes for TILE[80x2]... Generate nodes for TILE[80x32]... Generate nodes for TILE[80x36]... Generate nodes for TILE[80x38]... Generate nodes for TILE[80x40]... Generate nodes for TILE[80x44]... Generate nodes for TILE[80x48]... Generate nodes for TILE[80x4]... Generate nodes for TILE[80x8]... Generate nodes for TILE[81x12]... Generate nodes for TILE[81x14]... Generate nodes for TILE[81x16]... Generate nodes for TILE[81x20]... Generate nodes for TILE[81x24]... Generate nodes for TILE[81x26]... Generate nodes for TILE[81x28]... Generate nodes for TILE[81x2]... Generate nodes for TILE[81x32]... Generate nodes for TILE[81x36]... Generate nodes for TILE[81x38]... Generate nodes for TILE[81x40]... Generate nodes for TILE[81x44]... Generate nodes for TILE[81x48]... Generate nodes for TILE[81x4]... Generate nodes for TILE[81x8]... Generate nodes for TILE[82x12]... Generate nodes for TILE[82x14]... Generate nodes for TILE[82x16]... Generate nodes for TILE[82x20]... Generate nodes for TILE[82x24]... Generate nodes for TILE[82x26]... Generate nodes for TILE[82x28]... Generate nodes for TILE[82x2]... Generate nodes for TILE[82x32]... Generate nodes for TILE[82x36]... Generate nodes for TILE[82x38]... Generate nodes for TILE[82x40]... Generate nodes for TILE[82x44]... Generate nodes for TILE[82x48]... Generate nodes for TILE[82x4]... Generate nodes for TILE[82x8]... Generate nodes for TILE[83x12]... Generate nodes for TILE[83x14]... Generate nodes for TILE[83x16]... Generate nodes for TILE[83x20]... Generate nodes for TILE[83x24]... Generate nodes for TILE[83x26]... Generate nodes for TILE[83x28]... Generate nodes for TILE[83x2]... Generate nodes for TILE[83x32]... Generate nodes for TILE[83x36]... Generate nodes for TILE[83x38]... Generate nodes for TILE[83x40]... Generate nodes for TILE[83x44]... Generate nodes for TILE[83x48]... Generate nodes for TILE[83x4]... Generate nodes for TILE[83x8]... Generate nodes for TILE[84x12]... Generate nodes for TILE[84x14]... Generate nodes for TILE[84x16]... Generate nodes for TILE[84x20]... Generate nodes for TILE[84x24]... Generate nodes for TILE[84x26]... Generate nodes for TILE[84x28]... Generate nodes for TILE[84x2]... Generate nodes for TILE[84x32]... Generate nodes for TILE[84x36]... Generate nodes for TILE[84x38]... Generate nodes for TILE[84x40]... Generate nodes for TILE[84x44]... Generate nodes for TILE[84x48]...cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/ecp5 && /gnu/store/d5c8i3b549r412lkhddggyfx9mqdphs7-cmake-minimal-3.31.10/bin/cmake -E rename /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/ecp5/chipdb-85k.bba.new /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/ecp5/chipdb-85k.bba cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/ecp5 && /gnu/store/d5c8i3b549r412lkhddggyfx9mqdphs7-cmake-minimal-3.31.10/bin/cmake -E copy /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/ecp5/chipdb-85k.bba /gnu/store/davzxg5m27gz2djfkghkz3pb7ys8gvgi-nextpnr-ice40-0.9-0.ad76625-bba/share/nextpnr/bba-files/ecp5/chipdb-85k.bba [ 61%] Generating chipdb-85k.bin.cc cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/ecp5 && ../bba/bbasm --le --c /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/ecp5/chipdb-85k.bba /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/ecp5/chipdb-85k.bin.cc r/__/3rdparty/python-console/ColumnFormatter.cpp.o" "gui/CMakeFiles/nextpnr-ice40-gui.dir/__/3rdparty/python-console/ParseHelper.cpp.o" "gui/CMakeFiles/nextpnr-ice40-gui.dir/__/3rdparty/python-console/ParseHelper.BlockParseState.cpp.o" "gui/CMakeFiles/nextpnr-ice40-gui.dir/__/3rdparty/python-console/ParseHelper.BracketParseState.cpp.o" "gui/CMakeFiles/nextpnr-ice40-gui.dir/__/3rdparty/python-console/ParseHelper.ContinuationParseState.cpp.o" "gui/CMakeFiles/nextpnr-ice40-gui.dir/__/3rdparty/python-console/ParseMessage.cpp.o" "gui/CMakeFiles/nextpnr-ice40-gui.dir/__/3rdparty/python-console/modified/pyredirector.cc.o" "gui/CMakeFiles/nextpnr-ice40-gui.dir/__/3rdparty/python-console/modified/pyinterpreter.cc.o" -o ../nextpnr-ice40 -Wl,-rpath,::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::: ../3rdparty/json11/libjson11.a /gnu/store/4vxzslxx9fcvhcq0cqjr168shg8s1kwa-boost-1.83.0/lib/libboost_filesystem.so.1.83.0 /gnu/store/4vxzslxx9fcvhcq0cqjr168shg8s1kwa-boost-1.83.0/lib/libboost_atomic.so.1.83.0 /gnu/store/4vxzslxx9fcvhcq0cqjr168shg8s1kwa-boost-1.83.0/lib/libboost_program_options.so.1.83.0 /gnu/store/4vxzslxx9fcvhcq0cqjr168shg8s1kwa-boost-1.83.0/lib/libboost_iostreams.so.1.83.0 /gnu/store/4vxzslxx9fcvhcq0cqjr168shg8s1kwa-boost-1.83.0/lib/libboost_system.so.1.83.0 /gnu/store/4vxzslxx9fcvhcq0cqjr168shg8s1kwa-boost-1.83.0/lib/libboost_thread.so.1.83.0 /gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/lib/libpython3.11.so /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/lib/libQt5OpenGL.so.5.15.17 ../3rdparty/QtPropertyBrowser/src/libQtPropertyBrowser.a /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/lib/libQt5Widgets.so.5.15.17 /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/lib/libQt5Gui.so.5.15.17 /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/lib/libQt5Core.so.5.15.17 -limgui /gnu/store/ny8visn9x0y1r3bfylrirfbjprg3nh15-qtimgui-0.0-0.48d64a7/lib/libqt_imgui_widgets.so make[2]: Leaving directory '/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build' [ 61%] Built target nextpnr-ice40 make[2]: Leaving directory '/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build' [ 61%] Built target nextpnr-ecp5-chipdb /gnu/store/mx9i9dnjx34lkx8k8r79876q2f5dclvr-make-4.4.1/bin/make -f ecp5/CMakeFiles/nextpnr-ecp5.dir/build.make ecp5/CMakeFiles/nextpnr-ecp5.dir/depend /gnu/store/mx9i9dnjx34lkx8k8r79876q2f5dclvr-make-4.4.1/bin/make -f ecp5/CMakeFiles/nextpnr-ecp5-test.dir/build.make ecp5/CMakeFiles/nextpnr-ecp5-test.dir/depend make[2]: Entering directory '/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build' cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build && /gnu/store/d5c8i3b549r412lkhddggyfx9mqdphs7-cmake-minimal-3.31.10/bin/cmake -E cmake_depends "Unix Makefiles" /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/ecp5 /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/ecp5 /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/ecp5/CMakeFiles/nextpnr-ecp5-test.dir/DependInfo.cmake "--color=" make[2]: Entering directory '/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build' cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build && /gnu/store/d5c8i3b549r412lkhddggyfx9mqdphs7-cmake-minimal-3.31.10/bin/cmake -E cmake_depends "Unix Makefiles" /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/ecp5 /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/ecp5 /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/ecp5/CMakeFiles/nextpnr-ecp5.dir/DependInfo.cmake "--color=" make[2]: Leaving directory '/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build' make[2]: Leaving directory '/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build' /gnu/store/mx9i9dnjx34lkx8k8r79876q2f5dclvr-make-4.4.1/bin/make -f ecp5/CMakeFiles/nextpnr-ecp5.dir/build.make ecp5/CMakeFiles/nextpnr-ecp5.dir/build /gnu/store/mx9i9dnjx34lkx8k8r79876q2f5dclvr-make-4.4.1/bin/make -f ecp5/CMakeFiles/nextpnr-ecp5-test.dir/build.make ecp5/CMakeFiles/nextpnr-ecp5-test.dir/build make[2]: Entering directory '/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build' make[2]: Entering directory '/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build' [ 61%] Building CXX object ecp5/CMakeFiles/nextpnr-ecp5-test.dir/arch_place.cc.o [ 61%] Building CXX object ecp5/CMakeFiles/nextpnr-ecp5-test.dir/arch.cc.o [ 61%] Building CXX object ecp5/CMakeFiles/nextpnr-ecp5.dir/main.cc.o [ 61%] Building CXX object ecp5/CMakeFiles/nextpnr-ecp5.dir/arch_place.cc.o [ 61%] Building CXX object ecp5/CMakeFiles/nextpnr-ecp5-test.dir/arch_pybindings.cc.o [ 61%] Building CXX object ecp5/CMakeFiles/nextpnr-ecp5.dir/arch.cc.o [ 61%] Building CXX object ecp5/CMakeFiles/nextpnr-ecp5-test.dir/baseconfigs.cc.o [ 61%] Building CXX object ecp5/CMakeFiles/nextpnr-ecp5.dir/arch_pybindings.cc.o [ 61%] Building CXX object ecp5/CMakeFiles/nextpnr-ecp5-test.dir/bitstream.cc.o [ 61%] Building CXX object ecp5/CMakeFiles/nextpnr-ecp5.dir/baseconfigs.cc.o [ 61%] Building CXX object ecp5/CMakeFiles/nextpnr-ecp5.dir/bitstream.cc.o cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/ecp5 && /gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/bin/c++ -DARCHNAME=ecp5 -DARCH_ECP5 -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DGTEST_LINKED_AS_SHARED_LIBRARY=1 -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DNO_RUST -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -Dnextpnr_ecp5_test_EXPORTS -I/gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/include/python3.11 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/ecp5/gtest_include_dir -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/ecp5 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/common -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/frontend/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/json/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/rust/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/json11/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/place/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/route/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/oourafft/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/ecp5 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui -isystem /gnu/store/r94kayv6y93mj1hgc13k11klha366dsw-eigen-3.4.0/include/eigen3 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtWidgets -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtGui -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtCore -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/lib/qt5/mkspecs/linux-g++ -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -fdiagnostics-color=always -fPIC -MD -MT ecp5/CMakeFiles/nextpnr-ecp5-test.dir/arch.cc.o -MF CMakeFiles/nextpnr-ecp5-test.dir/arch.cc.o.d -o CMakeFiles/nextpnr-ecp5-test.dir/arch.cc.o -c /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/ecp5/arch.cc cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/ecp5 && /gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/bin/c++ -DARCHNAME=ecp5 -DARCH_ECP5 -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DNO_RUST -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -Dnextpnr_ecp5_EXPORTS -I/gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/include/python3.11 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/ecp5 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/common -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/frontend/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/json/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/rust/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/json11/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/place/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/route/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/oourafft/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/ecp5 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui -isystem /gnu/store/r94kayv6y93mj1hgc13k11klha366dsw-eigen-3.4.0/include/eigen3 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtWidgets -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtGui -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtCore -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/lib/qt5/mkspecs/linux-g++ -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -fdiagnostics-color=always -fPIC -MD -MT ecp5/CMakeFiles/nextpnr-ecp5.dir/main.cc.o -MF CMakeFiles/nextpnr-ecp5.dir/main.cc.o.d -o CMakeFiles/nextpnr-ecp5.dir/main.cc.o -c /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/ecp5/main.cc cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/ecp5 && /gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/bin/c++ -DARCHNAME=ecp5 -DARCH_ECP5 -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DNO_RUST -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -Dnextpnr_ecp5_EXPORTS -I/gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/include/python3.11 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/ecp5 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/common -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/frontend/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/json/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/rust/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/json11/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/place/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/route/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/oourafft/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/ecp5 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui -isystem /gnu/store/r94kayv6y93mj1hgc13k11klha366dsw-eigen-3.4.0/include/eigen3 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtWidgets -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtGui -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtCore -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/lib/qt5/mkspecs/linux-g++ -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -fdiagnostics-color=always -fPIC -MD -MT ecp5/CMakeFiles/nextpnr-ecp5.dir/arch.cc.o -MF CMakeFiles/nextpnr-ecp5.dir/arch.cc.o.d -o CMakeFiles/nextpnr-ecp5.dir/arch.cc.o -c /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/ecp5/arch.cc [ 61%] Building CXX object ecp5/CMakeFiles/nextpnr-ecp5-test.dir/cells.cc.o cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/ecp5 && /gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/bin/c++ -DARCHNAME=ecp5 -DARCH_ECP5 -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DNO_RUST -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -Dnextpnr_ecp5_EXPORTS -I/gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/include/python3.11 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/ecp5 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/common -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/frontend/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/json/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/rust/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/json11/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/place/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/route/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/oourafft/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/ecp5 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui -isystem /gnu/store/r94kayv6y93mj1hgc13k11klha366dsw-eigen-3.4.0/include/eigen3 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtWidgets -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtGui -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtCore -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/lib/qt5/mkspecs/linux-g++ -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -fdiagnostics-color=always -fPIC -MD -MT ecp5/CMakeFiles/nextpnr-ecp5.dir/arch_place.cc.o -MF CMakeFiles/nextpnr-ecp5.dir/arch_place.cc.o.d -o CMakeFiles/nextpnr-ecp5.dir/arch_place.cc.o -c /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/ecp5/arch_place.cc [ 62%] Building CXX object ecp5/CMakeFiles/nextpnr-ecp5-test.dir/gfx.cc.o cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/ecp5 && /gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/bin/c++ -DARCHNAME=ecp5 -DARCH_ECP5 -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DNO_RUST -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -Dnextpnr_ecp5_EXPORTS -I/gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/include/python3.11 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/ecp5 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/common -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/frontend/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/json/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/rust/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/json11/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/place/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/route/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/oourafft/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/ecp5 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui -isystem /gnu/store/r94kayv6y93mj1hgc13k11klha366dsw-eigen-3.4.0/include/eigen3 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtWidgets -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtGui -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtCore -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/lib/qt5/mkspecs/linux-g++ -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -fdiagnostics-color=always -fPIC -MD -MT ecp5/CMakeFiles/nextpnr-ecp5.dir/arch_pybindings.cc.o -MF CMakeFiles/nextpnr-ecp5.dir/arch_pybindings.cc.o.d -o CMakeFiles/nextpnr-ecp5.dir/arch_pybindings.cc.o -c /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/ecp5/arch_pybindings.cc [ 62%] Building CXX object ecp5/CMakeFiles/nextpnr-ecp5-test.dir/globals.cc.o [ 62%] Building CXX object ecp5/CMakeFiles/nextpnr-ecp5.dir/config.cc.o cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/ecp5 && /gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/bin/c++ -DARCHNAME=ecp5 -DARCH_ECP5 -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DNO_RUST -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -Dnextpnr_ecp5_EXPORTS -I/gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/include/python3.11 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/ecp5 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/common -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/frontend/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/json/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/rust/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/json11/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/place/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/route/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/oourafft/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/ecp5 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui -isystem /gnu/store/r94kayv6y93mj1hgc13k11klha366dsw-eigen-3.4.0/include/eigen3 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtWidgets -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtGui -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtCore -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/lib/qt5/mkspecs/linux-g++ -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -fdiagnostics-color=always -fPIC -MD -MT ecp5/CMakeFiles/nextpnr-ecp5.dir/baseconfigs.cc.o -MF CMakeFiles/nextpnr-ecp5.dir/baseconfigs.cc.o.d -o CMakeFiles/nextpnr-ecp5.dir/baseconfigs.cc.o -c /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/ecp5/baseconfigs.cc [ 62%] Building CXX object ecp5/CMakeFiles/nextpnr-ecp5-test.dir/config.cc.o [ 62%] Building CXX object ecp5/CMakeFiles/nextpnr-ecp5.dir/globals.cc.o [ 62%] Building CXX object ecp5/CMakeFiles/nextpnr-ecp5.dir/cells.cc.o cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/ecp5 && /gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/bin/c++ -DARCHNAME=ecp5 -DARCH_ECP5 -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DNO_RUST -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -Dnextpnr_ecp5_EXPORTS -I/gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/include/python3.11 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/ecp5 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/common -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/frontend/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/json/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/rust/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/json11/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/place/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/route/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/oourafft/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/ecp5 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui -isystem /gnu/store/r94kayv6y93mj1hgc13k11klha366dsw-eigen-3.4.0/include/eigen3 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtWidgets -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtGui -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtCore -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/lib/qt5/mkspecs/linux-g++ -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -fdiagnostics-color=always -fPIC -MD -MT ecp5/CMakeFiles/nextpnr-ecp5.dir/bitstream.cc.o -MF CMakeFiles/nextpnr-ecp5.dir/bitstream.cc.o.d -o CMakeFiles/nextpnr-ecp5.dir/bitstream.cc.o -c /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/ecp5/bitstream.cc [ 63%] Building CXX object ecp5/CMakeFiles/nextpnr-ecp5.dir/lpf.cc.o [ 63%] Building CXX object ecp5/CMakeFiles/nextpnr-ecp5.dir/gfx.cc.o [ 63%] Building CXX object ecp5/CMakeFiles/nextpnr-ecp5-test.dir/lpf.cc.o [ 63%] Building CXX object ecp5/CMakeFiles/nextpnr-ecp5-test.dir/pio.cc.o [ 63%] Building CXX object ecp5/CMakeFiles/nextpnr-ecp5-test.dir/pack.cc.o [ 63%] Building CXX object ecp5/CMakeFiles/nextpnr-ecp5.dir/pack.cc.o cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/ecp5 && /gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/bin/c++ -DARCHNAME=ecp5 -DARCH_ECP5 -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DNO_RUST -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -Dnextpnr_ecp5_EXPORTS -I/gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/include/python3.11 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/ecp5 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/common -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/frontend/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/json/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/rust/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/json11/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/place/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/route/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/oourafft/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/ecp5 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui -isystem /gnu/store/r94kayv6y93mj1hgc13k11klha366dsw-eigen-3.4.0/include/eigen3 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtWidgets -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtGui -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtCore -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/lib/qt5/mkspecs/linux-g++ -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -fdiagnostics-color=always -fPIC -MD -MT ecp5/CMakeFiles/nextpnr-ecp5.dir/config.cc.o -MF CMakeFiles/nextpnr-ecp5.dir/config.cc.o.d -o CMakeFiles/nextpnr-ecp5.dir/config.cc.o -c /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/ecp5/config.cc cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/ecp5 && /gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/bin/c++ -DARCHNAME=ecp5 -DARCH_ECP5 -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DGTEST_LINKED_AS_SHARED_LIBRARY=1 -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DNO_RUST -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -Dnextpnr_ecp5_test_EXPORTS -I/gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/include/python3.11 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/ecp5/gtest_include_dir -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/ecp5 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/common -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/frontend/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/json/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/rust/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/json11/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/place/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/route/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/oourafft/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/ecp5 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui -isystem /gnu/store/r94kayv6y93mj1hgc13k11klha366dsw-eigen-3.4.0/include/eigen3 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtWidgets -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtGui -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtCore -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/lib/qt5/mkspecs/linux-g++ -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -fdiagnostics-color=always -fPIC -MD -MT ecp5/CMakeFiles/nextpnr-ecp5-test.dir/arch_place.cc.o -MF CMakeFiles/nextpnr-ecp5-test.dir/arch_place.cc.o.d -o CMakeFiles/nextpnr-ecp5-test.dir/arch_place.cc.o -c /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/ecp5/arch_place.cc cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/ecp5 && /gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/bin/c++ -DARCHNAME=ecp5 -DARCH_ECP5 -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DGTEST_LINKED_AS_SHARED_LIBRARY=1 -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DNO_RUST -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -Dnextpnr_ecp5_test_EXPORTS -I/gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/include/python3.11 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/ecp5/gtest_include_dir -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/ecp5 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/common -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/frontend/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/json/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/rust/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/json11/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/place/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/route/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/oourafft/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/ecp5 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui -isystem /gnu/store/r94kayv6y93mj1hgc13k11klha366dsw-eigen-3.4.0/include/eigen3 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtWidgets -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtGui -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtCore -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/lib/qt5/mkspecs/linux-g++ -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -fdiagnostics-color=always -fPIC -MD -MT ecp5/CMakeFiles/nextpnr-ecp5-test.dir/arch_pybindings.cc.o -MF CMakeFiles/nextpnr-ecp5-test.dir/arch_pybindings.cc.o.d -o CMakeFiles/nextpnr-ecp5-test.dir/arch_pybindings.cc.o -c /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/ecp5/arch_pybindings.cc [ 63%] Building CXX object ecp5/CMakeFiles/nextpnr-ecp5-test.dir/__/common/kernel/archcheck.cc.o [ 63%] Building CXX object ecp5/CMakeFiles/nextpnr-ecp5.dir/pio.cc.o cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/ecp5 && /gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/bin/c++ -DARCHNAME=ecp5 -DARCH_ECP5 -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DGTEST_LINKED_AS_SHARED_LIBRARY=1 -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DNO_RUST -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -Dnextpnr_ecp5_test_EXPORTS -I/gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/include/python3.11 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/ecp5/gtest_include_dir -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/ecp5 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/common -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/frontend/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/json/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/rust/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/json11/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/place/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/route/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/oourafft/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/ecp5 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui -isystem /gnu/store/r94kayv6y93mj1hgc13k11klha366dsw-eigen-3.4.0/include/eigen3 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtWidgets -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtGui -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtCore -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/lib/qt5/mkspecs/linux-g++ -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -fdiagnostics-color=always -fPIC -MD -MT ecp5/CMakeFiles/nextpnr-ecp5-test.dir/baseconfigs.cc.o -MF CMakeFiles/nextpnr-ecp5-test.dir/baseconfigs.cc.o.d -o CMakeFiles/nextpnr-ecp5-test.dir/baseconfigs.cc.o -c /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/ecp5/baseconfigs.cc cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/ecp5 && /gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/bin/c++ -DARCHNAME=ecp5 -DARCH_ECP5 -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DGTEST_LINKED_AS_SHARED_LIBRARY=1 -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DNO_RUST -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -Dnextpnr_ecp5_test_EXPORTS -I/gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/include/python3.11 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/ecp5/gtest_include_dir -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/ecp5 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/common -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/frontend/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/json/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/rust/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/json11/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/place/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/route/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/oourafft/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/ecp5 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui -isystem /gnu/store/r94kayv6y93mj1hgc13k11klha366dsw-eigen-3.4.0/include/eigen3 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtWidgets -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtGui -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtCore -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/lib/qt5/mkspecs/linux-g++ -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -fdiagnostics-color=always -fPIC -MD -MT ecp5/CMakeFiles/nextpnr-ecp5-test.dir/bitstream.cc.o -MF CMakeFiles/nextpnr-ecp5-test.dir/bitstream.cc.o.d -o CMakeFiles/nextpnr-ecp5-test.dir/bitstream.cc.o -c /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/ecp5/bitstream.cc cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/ecp5 && /gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/bin/c++ -DARCHNAME=ecp5 -DARCH_ECP5 -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DGTEST_LINKED_AS_SHARED_LIBRARY=1 -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DNO_RUST -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -Dnextpnr_ecp5_test_EXPORTS -I/gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/include/python3.11 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/ecp5/gtest_include_dir -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/ecp5 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/common -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/frontend/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/json/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/rust/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/json11/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/place/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/route/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/oourafft/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/ecp5 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui -isystem /gnu/store/r94kayv6y93mj1hgc13k11klha366dsw-eigen-3.4.0/include/eigen3 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtWidgets -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtGui -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtCore -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/lib/qt5/mkspecs/linux-g++ -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -fdiagnostics-color=always -fPIC -MD -MT ecp5/CMakeFiles/nextpnr-ecp5-test.dir/cells.cc.o -MF CMakeFiles/nextpnr-ecp5-test.dir/cells.cc.o.d -o CMakeFiles/nextpnr-ecp5-test.dir/cells.cc.o -c /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/ecp5/cells.cc [ 63%] Building CXX object ecp5/CMakeFiles/nextpnr-ecp5-test.dir/__/common/kernel/basectx.cc.o [ 63%] Building CXX object ecp5/CMakeFiles/nextpnr-ecp5.dir/__/common/kernel/archcheck.cc.o cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/ecp5 && /gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/bin/c++ -DARCHNAME=ecp5 -DARCH_ECP5 -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DGTEST_LINKED_AS_SHARED_LIBRARY=1 -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DNO_RUST -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -Dnextpnr_ecp5_test_EXPORTS -I/gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/include/python3.11 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/ecp5/gtest_include_dir -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/ecp5 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/common -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/frontend/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/json/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/rust/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/json11/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/place/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/route/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/oourafft/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/ecp5 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui -isystem /gnu/store/r94kayv6y93mj1hgc13k11klha366dsw-eigen-3.4.0/include/eigen3 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtWidgets -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtGui -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtCore -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/lib/qt5/mkspecs/linux-g++ -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -fdiagnostics-color=always -fPIC -MD -MT ecp5/CMakeFiles/nextpnr-ecp5-test.dir/config.cc.o -MF CMakeFiles/nextpnr-ecp5-test.dir/config.cc.o.d -o CMakeFiles/nextpnr-ecp5-test.dir/config.cc.o -c /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/ecp5/config.cc [ 63%] Building CXX object ecp5/CMakeFiles/nextpnr-ecp5-test.dir/__/common/kernel/bits.cc.o [ 63%] Building CXX object ecp5/CMakeFiles/nextpnr-ecp5.dir/__/common/kernel/basectx.cc.o [ 63%] Building CXX object ecp5/CMakeFiles/nextpnr-ecp5-test.dir/__/common/kernel/command.cc.o cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/ecp5 && /gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/bin/c++ -DARCHNAME=ecp5 -DARCH_ECP5 -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DGTEST_LINKED_AS_SHARED_LIBRARY=1 -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DNO_RUST -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -Dnextpnr_ecp5_test_EXPORTS -I/gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/include/python3.11 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/ecp5/gtest_include_dir -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/ecp5 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/common -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/frontend/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/json/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/rust/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/json11/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/place/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/route/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/oourafft/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/ecp5 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui -isystem /gnu/store/r94kayv6y93mj1hgc13k11klha366dsw-eigen-3.4.0/include/eigen3 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtWidgets -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtGui -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtCore -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/lib/qt5/mkspecs/linux-g++ -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -fdiagnostics-color=always -fPIC -MD -MT ecp5/CMakeFiles/nextpnr-ecp5-test.dir/gfx.cc.o -MF CMakeFiles/nextpnr-ecp5-test.dir/gfx.cc.o.d -o CMakeFiles/nextpnr-ecp5-test.dir/gfx.cc.o -c /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/ecp5/gfx.cc cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/ecp5 && /gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/bin/c++ -DARCHNAME=ecp5 -DARCH_ECP5 -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DGTEST_LINKED_AS_SHARED_LIBRARY=1 -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DNO_RUST -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -Dnextpnr_ecp5_test_EXPORTS -I/gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/include/python3.11 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/ecp5/gtest_include_dir -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/ecp5 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/common -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/frontend/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/json/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/rust/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/json11/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/place/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/route/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/oourafft/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/ecp5 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui -isystem /gnu/store/r94kayv6y93mj1hgc13k11klha366dsw-eigen-3.4.0/include/eigen3 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtWidgets -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtGui -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtCore -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/lib/qt5/mkspecs/linux-g++ -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -fdiagnostics-color=always -fPIC -MD -MT ecp5/CMakeFiles/nextpnr-ecp5-test.dir/globals.cc.o -MF CMakeFiles/nextpnr-ecp5-test.dir/globals.cc.o.d -o CMakeFiles/nextpnr-ecp5-test.dir/globals.cc.o -c /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/ecp5/globals.cc cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/ecp5 && /gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/bin/c++ -DARCHNAME=ecp5 -DARCH_ECP5 -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DGTEST_LINKED_AS_SHARED_LIBRARY=1 -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DNO_RUST -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -Dnextpnr_ecp5_test_EXPORTS -I/gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/include/python3.11 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/ecp5/gtest_include_dir -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/ecp5 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/common -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/frontend/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/json/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/rust/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/json11/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/place/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/route/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/oourafft/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/ecp5 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui -isystem /gnu/store/r94kayv6y93mj1hgc13k11klha366dsw-eigen-3.4.0/include/eigen3 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtWidgets -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtGui -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtCore -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/lib/qt5/mkspecs/linux-g++ -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -fdiagnostics-color=always -fPIC -MD -MT ecp5/CMakeFiles/nextpnr-ecp5-test.dir/lpf.cc.o -MF CMakeFiles/nextpnr-ecp5-test.dir/lpf.cc.o.d -o CMakeFiles/nextpnr-ecp5-test.dir/lpf.cc.o -c /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/ecp5/lpf.cc [ 63%] Building CXX object ecp5/CMakeFiles/nextpnr-ecp5-test.dir/__/common/kernel/idstring.cc.o [ 64%] Building CXX object ecp5/CMakeFiles/nextpnr-ecp5.dir/__/common/kernel/command.cc.o cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/ecp5 && /gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/bin/c++ -DARCHNAME=ecp5 -DARCH_ECP5 -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DGTEST_LINKED_AS_SHARED_LIBRARY=1 -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DNO_RUST -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -Dnextpnr_ecp5_test_EXPORTS -I/gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/include/python3.11 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/ecp5/gtest_include_dir -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/ecp5 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/common -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/frontend/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/json/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/rust/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/json11/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/place/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/route/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/oourafft/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/ecp5 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui -isystem /gnu/store/r94kayv6y93mj1hgc13k11klha366dsw-eigen-3.4.0/include/eigen3 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtWidgets -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtGui -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtCore -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/lib/qt5/mkspecs/linux-g++ -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -fdiagnostics-color=always -fPIC -MD -MT ecp5/CMakeFiles/nextpnr-ecp5-test.dir/pack.cc.o -MF CMakeFiles/nextpnr-ecp5-test.dir/pack.cc.o.d -o CMakeFiles/nextpnr-ecp5-test.dir/pack.cc.o -c /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/ecp5/pack.cc [ 64%] Building CXX object ecp5/CMakeFiles/nextpnr-ecp5-test.dir/__/common/kernel/design_utils.cc.o [ 64%] Building CXX object ecp5/CMakeFiles/nextpnr-ecp5.dir/__/common/kernel/context.cc.o [ 65%] Building CXX object ecp5/CMakeFiles/nextpnr-ecp5.dir/__/common/kernel/bits.cc.o [ 65%] Building CXX object ecp5/CMakeFiles/nextpnr-ecp5-test.dir/__/common/kernel/context.cc.o [ 64%] Building CXX object ecp5/CMakeFiles/nextpnr-ecp5-test.dir/__/common/kernel/embed.cc.o cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/ecp5 && /gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/bin/c++ -DARCHNAME=ecp5 -DARCH_ECP5 -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DGTEST_LINKED_AS_SHARED_LIBRARY=1 -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DNO_RUST -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -Dnextpnr_ecp5_test_EXPORTS -I/gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/include/python3.11 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/ecp5/gtest_include_dir -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/ecp5 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/common -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/frontend/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/json/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/rust/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/json11/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/place/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/route/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/oourafft/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/ecp5 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui -isystem /gnu/store/r94kayv6y93mj1hgc13k11klha366dsw-eigen-3.4.0/include/eigen3 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtWidgets -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtGui -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtCore -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/lib/qt5/mkspecs/linux-g++ -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -fdiagnostics-color=always -fPIC -MD -MT ecp5/CMakeFiles/nextpnr-ecp5-test.dir/pio.cc.o -MF CMakeFiles/nextpnr-ecp5-test.dir/pio.cc.o.d -o CMakeFiles/nextpnr-ecp5-test.dir/pio.cc.o -c /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/ecp5/pio.cc [ 65%] Building CXX object ecp5/CMakeFiles/nextpnr-ecp5.dir/__/common/kernel/embed.cc.o [ 65%] Building CXX object ecp5/CMakeFiles/nextpnr-ecp5.dir/__/common/kernel/design_utils.cc.o cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/ecp5 && /gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/bin/c++ -DARCHNAME=ecp5 -DARCH_ECP5 -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DGTEST_LINKED_AS_SHARED_LIBRARY=1 -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DNO_RUST -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -Dnextpnr_ecp5_test_EXPORTS -I/gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/include/python3.11 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/ecp5/gtest_include_dir -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/ecp5 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/common -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/frontend/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/json/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/rust/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/json11/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/place/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/route/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/oourafft/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/ecp5 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui -isystem /gnu/store/r94kayv6y93mj1hgc13k11klha366dsw-eigen-3.4.0/include/eigen3 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtWidgets -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtGui -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtCore -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/lib/qt5/mkspecs/linux-g++ -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -fdiagnostics-color=always -fPIC -MD -MT ecp5/CMakeFiles/nextpnr-ecp5-test.dir/__/common/kernel/archcheck.cc.o -MF CMakeFiles/nextpnr-ecp5-test.dir/__/common/kernel/archcheck.cc.o.d -o CMakeFiles/nextpnr-ecp5-test.dir/__/common/kernel/archcheck.cc.o -c /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/archcheck.cc [ 65%] Building CXX object ecp5/CMakeFiles/nextpnr-ecp5-test.dir/__/common/kernel/idstringlist.cc.o [ 65%] Building CXX object ecp5/CMakeFiles/nextpnr-ecp5.dir/__/common/kernel/idstringlist.cc.o [ 65%] Building CXX object ecp5/CMakeFiles/nextpnr-ecp5.dir/__/common/kernel/handle_error.cc.o [ 65%] Building CXX object ecp5/CMakeFiles/nextpnr-ecp5-test.dir/__/common/kernel/handle_error.cc.o [ 65%] Building CXX object ecp5/CMakeFiles/nextpnr-ecp5.dir/__/common/kernel/idstring.cc.o [ 65%] Building CXX object ecp5/CMakeFiles/nextpnr-ecp5-test.dir/__/common/kernel/log.cc.o cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/ecp5 && /gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/bin/c++ -DARCHNAME=ecp5 -DARCH_ECP5 -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DGTEST_LINKED_AS_SHARED_LIBRARY=1 -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DNO_RUST -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -Dnextpnr_ecp5_test_EXPORTS -I/gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/include/python3.11 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/ecp5/gtest_include_dir -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/ecp5 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/common -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/frontend/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/json/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/rust/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/json11/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/place/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/route/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/oourafft/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/ecp5 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui -isystem /gnu/store/r94kayv6y93mj1hgc13k11klha366dsw-eigen-3.4.0/include/eigen3 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtWidgets -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtGui -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtCore -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/lib/qt5/mkspecs/linux-g++ -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -fdiagnostics-color=always -fPIC -MD -MT ecp5/CMakeFiles/nextpnr-ecp5-test.dir/__/common/kernel/basectx.cc.o -MF CMakeFiles/nextpnr-ecp5-test.dir/__/common/kernel/basectx.cc.o.d -o CMakeFiles/nextpnr-ecp5-test.dir/__/common/kernel/basectx.cc.o -c /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/basectx.cc cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/ecp5 && /gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/bin/c++ -DARCHNAME=ecp5 -DARCH_ECP5 -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DGTEST_LINKED_AS_SHARED_LIBRARY=1 -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DNO_RUST -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -Dnextpnr_ecp5_test_EXPORTS -I/gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/include/python3.11 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/ecp5/gtest_include_dir -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/ecp5 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/common -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/frontend/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/json/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/rust/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/json11/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/place/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/route/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/oourafft/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/ecp5 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui -isystem /gnu/store/r94kayv6y93mj1hgc13k11klha366dsw-eigen-3.4.0/include/eigen3 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtWidgets -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtGui -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtCore -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/lib/qt5/mkspecs/linux-g++ -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -fdiagnostics-color=always -fPIC -MD -MT ecp5/CMakeFiles/nextpnr-ecp5-test.dir/__/common/kernel/bits.cc.o -MF CMakeFiles/nextpnr-ecp5-test.dir/__/common/kernel/bits.cc.o.d -o CMakeFiles/nextpnr-ecp5-test.dir/__/common/kernel/bits.cc.o -c /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/bits.cc [ 65%] Building CXX object ecp5/CMakeFiles/nextpnr-ecp5-test.dir/__/common/kernel/nextpnr_types.cc.o [ 65%] Building CXX object ecp5/CMakeFiles/nextpnr-ecp5-test.dir/__/common/kernel/nextpnr_assertions.cc.o [ 65%] Building CXX object ecp5/CMakeFiles/nextpnr-ecp5.dir/__/common/kernel/log.cc.o cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/ecp5 && /gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/bin/c++ -DARCHNAME=ecp5 -DARCH_ECP5 -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DGTEST_LINKED_AS_SHARED_LIBRARY=1 -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DNO_RUST -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -Dnextpnr_ecp5_test_EXPORTS -I/gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/include/python3.11 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/ecp5/gtest_include_dir -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/ecp5 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/common -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/frontend/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/json/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/rust/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/json11/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/place/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/route/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/oourafft/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/ecp5 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui -isystem /gnu/store/r94kayv6y93mj1hgc13k11klha366dsw-eigen-3.4.0/include/eigen3 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtWidgets -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtGui -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtCore -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/lib/qt5/mkspecs/linux-g++ -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -fdiagnostics-color=always -fPIC -MD -MT ecp5/CMakeFiles/nextpnr-ecp5-test.dir/__/common/kernel/command.cc.o -MF CMakeFiles/nextpnr-ecp5-test.dir/__/common/kernel/command.cc.o.d -o CMakeFiles/nextpnr-ecp5-test.dir/__/common/kernel/command.cc.o -c /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/command.cc cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/ecp5 && /gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/bin/c++ -DARCHNAME=ecp5 -DARCH_ECP5 -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DNO_RUST -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -Dnextpnr_ecp5_EXPORTS -I/gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/include/python3.11 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/ecp5 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/common -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/frontend/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/json/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/rust/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/json11/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/place/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/route/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/oourafft/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/ecp5 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui -isystem /gnu/store/r94kayv6y93mj1hgc13k11klha366dsw-eigen-3.4.0/include/eigen3 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtWidgets -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtGui -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtCore -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/lib/qt5/mkspecs/linux-g++ -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -fdiagnostics-color=always -fPIC -MD -MT ecp5/CMakeFiles/nextpnr-ecp5.dir/cells.cc.o -MF CMakeFiles/nextpnr-ecp5.dir/cells.cc.o.d -o CMakeFiles/nextpnr-ecp5.dir/cells.cc.o -c /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/ecp5/cells.cc cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/ecp5 && /gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/bin/c++ -DARCHNAME=ecp5 -DARCH_ECP5 -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DGTEST_LINKED_AS_SHARED_LIBRARY=1 -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DNO_RUST -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -Dnextpnr_ecp5_test_EXPORTS -I/gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/include/python3.11 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/ecp5/gtest_include_dir -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/ecp5 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/common -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/frontend/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/json/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/rust/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/json11/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/place/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/route/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/oourafft/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/ecp5 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui -isystem /gnu/store/r94kayv6y93mj1hgc13k11klha366dsw-eigen-3.4.0/include/eigen3 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtWidgets -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtGui -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtCore -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/lib/qt5/mkspecs/linux-g++ -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -fdiagnostics-color=always -fPIC -MD -MT ecp5/CMakeFiles/nextpnr-ecp5-test.dir/__/common/kernel/context.cc.o -MF CMakeFiles/nextpnr-ecp5-test.dir/__/common/kernel/context.cc.o.d -o CMakeFiles/nextpnr-ecp5-test.dir/__/common/kernel/context.cc.o -c /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/context.cc [ 67%] Building CXX object ecp5/CMakeFiles/nextpnr-ecp5.dir/__/common/kernel/nextpnr.cc.o [ 67%] Building CXX object ecp5/CMakeFiles/nextpnr-ecp5.dir/__/common/kernel/nextpnr_assertions.cc.o [ 67%] Building CXX object ecp5/CMakeFiles/nextpnr-ecp5-test.dir/__/common/kernel/nextpnr_namespaces.cc.o [ 66%] Building CXX object ecp5/CMakeFiles/nextpnr-ecp5-test.dir/__/common/kernel/nextpnr.cc.o cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/ecp5 && /gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/bin/c++ -DARCHNAME=ecp5 -DARCH_ECP5 -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DGTEST_LINKED_AS_SHARED_LIBRARY=1 -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DNO_RUST -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -Dnextpnr_ecp5_test_EXPORTS -I/gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/include/python3.11 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/ecp5/gtest_include_dir -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/ecp5 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/common -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/frontend/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/json/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/rust/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/json11/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/place/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/route/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/oourafft/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/ecp5 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui -isystem /gnu/store/r94kayv6y93mj1hgc13k11klha366dsw-eigen-3.4.0/include/eigen3 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtWidgets -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtGui -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtCore -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/lib/qt5/mkspecs/linux-g++ -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -fdiagnostics-color=always -fPIC -MD -MT ecp5/CMakeFiles/nextpnr-ecp5-test.dir/__/common/kernel/design_utils.cc.o -MF CMakeFiles/nextpnr-ecp5-test.dir/__/common/kernel/design_utils.cc.o.d -o CMakeFiles/nextpnr-ecp5-test.dir/__/common/kernel/design_utils.cc.o -c /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/design_utils.cc [ 67%] Building CXX object ecp5/CMakeFiles/nextpnr-ecp5.dir/__/common/kernel/nextpnr_types.cc.o [ 67%] Building CXX object ecp5/CMakeFiles/nextpnr-ecp5-test.dir/__/common/kernel/property.cc.o cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/ecp5 && /gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/bin/c++ -DARCHNAME=ecp5 -DARCH_ECP5 -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DGTEST_LINKED_AS_SHARED_LIBRARY=1 -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DNO_RUST -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -Dnextpnr_ecp5_test_EXPORTS -I/gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/include/python3.11 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/ecp5/gtest_include_dir -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/ecp5 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/common -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/frontend/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/json/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/rust/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/json11/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/place/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/route/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/oourafft/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/ecp5 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui -isystem /gnu/store/r94kayv6y93mj1hgc13k11klha366dsw-eigen-3.4.0/include/eigen3 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtWidgets -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtGui -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtCore -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/lib/qt5/mkspecs/linux-g++ -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -fdiagnostics-color=always -fPIC -MD -MT ecp5/CMakeFiles/nextpnr-ecp5-test.dir/__/common/kernel/embed.cc.o -MF CMakeFiles/nextpnr-ecp5-test.dir/__/common/kernel/embed.cc.o.d -o CMakeFiles/nextpnr-ecp5-test.dir/__/common/kernel/embed.cc.o -c /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/embed.cc [ 67%] Building CXX object ecp5/CMakeFiles/nextpnr-ecp5.dir/__/common/kernel/report.cc.o cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/ecp5 && /gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/bin/c++ -DARCHNAME=ecp5 -DARCH_ECP5 -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DGTEST_LINKED_AS_SHARED_LIBRARY=1 -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DNO_RUST -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -Dnextpnr_ecp5_test_EXPORTS -I/gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/include/python3.11 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/ecp5/gtest_include_dir -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/ecp5 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/common -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/frontend/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/json/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/rust/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/json11/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/place/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/route/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/oourafft/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/ecp5 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui -isystem /gnu/store/r94kayv6y93mj1hgc13k11klha366dsw-eigen-3.4.0/include/eigen3 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtWidgets -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtGui -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtCore -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/lib/qt5/mkspecs/linux-g++ -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -fdiagnostics-color=always -fPIC -MD -MT ecp5/CMakeFiles/nextpnr-ecp5-test.dir/__/common/kernel/handle_error.cc.o -MF CMakeFiles/nextpnr-ecp5-test.dir/__/common/kernel/handle_error.cc.o.d -o CMakeFiles/nextpnr-ecp5-test.dir/__/common/kernel/handle_error.cc.o -c /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/handle_error.cc [ 67%] Building CXX object ecp5/CMakeFiles/nextpnr-ecp5.dir/__/common/kernel/sdc.cc.o cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/ecp5 && /gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/bin/c++ -DARCHNAME=ecp5 -DARCH_ECP5 -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DGTEST_LINKED_AS_SHARED_LIBRARY=1 -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DNO_RUST -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -Dnextpnr_ecp5_test_EXPORTS -I/gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/include/python3.11 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/ecp5/gtest_include_dir -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/ecp5 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/common -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/frontend/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/json/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/rust/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/json11/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/place/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/route/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/oourafft/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/ecp5 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui -isystem /gnu/store/r94kayv6y93mj1hgc13k11klha366dsw-eigen-3.4.0/include/eigen3 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtWidgets -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtGui -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtCore -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/lib/qt5/mkspecs/linux-g++ -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -fdiagnostics-color=always -fPIC -MD -MT ecp5/CMakeFiles/nextpnr-ecp5-test.dir/__/common/kernel/idstring.cc.o -MF CMakeFiles/nextpnr-ecp5-test.dir/__/common/kernel/idstring.cc.o.d -o CMakeFiles/nextpnr-ecp5-test.dir/__/common/kernel/idstring.cc.o -c /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/idstring.cc [ 67%] Building CXX object ecp5/CMakeFiles/nextpnr-ecp5-test.dir/__/common/kernel/pybindings.cc.o [ 67%] Building CXX object ecp5/CMakeFiles/nextpnr-ecp5.dir/__/common/kernel/property.cc.o [ 67%] Building CXX object ecp5/CMakeFiles/nextpnr-ecp5.dir/__/common/kernel/pybindings.cc.o [ 67%] Building CXX object ecp5/CMakeFiles/nextpnr-ecp5-test.dir/__/common/kernel/report.cc.o cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/ecp5 && /gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/bin/c++ -DARCHNAME=ecp5 -DARCH_ECP5 -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DGTEST_LINKED_AS_SHARED_LIBRARY=1 -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DNO_RUST -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -Dnextpnr_ecp5_test_EXPORTS -I/gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/include/python3.11 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/ecp5/gtest_include_dir -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/ecp5 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/common -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/frontend/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/json/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/rust/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/json11/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/place/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/route/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/oourafft/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/ecp5 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui -isystem /gnu/store/r94kayv6y93mj1hgc13k11klha366dsw-eigen-3.4.0/include/eigen3 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtWidgets -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtGui -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtCore -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/lib/qt5/mkspecs/linux-g++ -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -fdiagnostics-color=always -fPIC -MD -MT ecp5/CMakeFiles/nextpnr-ecp5-test.dir/__/common/kernel/idstringlist.cc.o -MF CMakeFiles/nextpnr-ecp5-test.dir/__/common/kernel/idstringlist.cc.o.d -o CMakeFiles/nextpnr-ecp5-test.dir/__/common/kernel/idstringlist.cc.o -c /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/idstringlist.cc cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/ecp5 && /gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/bin/c++ -DARCHNAME=ecp5 -DARCH_ECP5 -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DGTEST_LINKED_AS_SHARED_LIBRARY=1 -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DNO_RUST -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -Dnextpnr_ecp5_test_EXPORTS -I/gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/include/python3.11 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/ecp5/gtest_include_dir -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/ecp5 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/common -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/frontend/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/json/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/rust/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/json11/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/place/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/route/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/oourafft/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/ecp5 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui -isystem /gnu/store/r94kayv6y93mj1hgc13k11klha366dsw-eigen-3.4.0/include/eigen3 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtWidgets -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtGui -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtCore -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/lib/qt5/mkspecs/linux-g++ -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -fdiagnostics-color=always -fPIC -MD -MT ecp5/CMakeFiles/nextpnr-ecp5-test.dir/__/common/kernel/log.cc.o -MF CMakeFiles/nextpnr-ecp5-test.dir/__/common/kernel/log.cc.o.d -o CMakeFiles/nextpnr-ecp5-test.dir/__/common/kernel/log.cc.o -c /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/log.cc [ 67%] Building CXX object ecp5/CMakeFiles/nextpnr-ecp5-test.dir/__/common/kernel/sdc.cc.o cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/ecp5 && /gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/bin/c++ -DARCHNAME=ecp5 -DARCH_ECP5 -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DGTEST_LINKED_AS_SHARED_LIBRARY=1 -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DNO_RUST -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -Dnextpnr_ecp5_test_EXPORTS -I/gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/include/python3.11 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/ecp5/gtest_include_dir -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/ecp5 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/common -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/frontend/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/json/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/rust/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/json11/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/place/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/route/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/oourafft/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/ecp5 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui -isystem /gnu/store/r94kayv6y93mj1hgc13k11klha366dsw-eigen-3.4.0/include/eigen3 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtWidgets -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtGui -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtCore -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/lib/qt5/mkspecs/linux-g++ -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -fdiagnostics-color=always -fPIC -MD -MT ecp5/CMakeFiles/nextpnr-ecp5-test.dir/__/common/kernel/nextpnr_assertions.cc.o -MF CMakeFiles/nextpnr-ecp5-test.dir/__/common/kernel/nextpnr_assertions.cc.o.d -o CMakeFiles/nextpnr-ecp5-test.dir/__/common/kernel/nextpnr_assertions.cc.o -c /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/nextpnr_assertions.cc [ 67%] Building CXX object ecp5/CMakeFiles/nextpnr-ecp5.dir/__/common/kernel/nextpnr_namespaces.cc.o cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/ecp5 && /gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/bin/c++ -DARCHNAME=ecp5 -DARCH_ECP5 -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DGTEST_LINKED_AS_SHARED_LIBRARY=1 -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DNO_RUST -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -Dnextpnr_ecp5_test_EXPORTS -I/gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/include/python3.11 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/ecp5/gtest_include_dir -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/ecp5 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/common -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/frontend/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/json/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/rust/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/json11/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/place/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/route/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/oourafft/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/ecp5 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui -isystem /gnu/store/r94kayv6y93mj1hgc13k11klha366dsw-eigen-3.4.0/include/eigen3 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtWidgets -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtGui -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtCore -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/lib/qt5/mkspecs/linux-g++ -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -fdiagnostics-color=always -fPIC -MD -MT ecp5/CMakeFiles/nextpnr-ecp5-test.dir/__/common/kernel/nextpnr.cc.o -MF CMakeFiles/nextpnr-ecp5-test.dir/__/common/kernel/nextpnr.cc.o.d -o CMakeFiles/nextpnr-ecp5-test.dir/__/common/kernel/nextpnr.cc.o -c /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/nextpnr.cc cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/ecp5 && /gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/bin/c++ -DARCHNAME=ecp5 -DARCH_ECP5 -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DGTEST_LINKED_AS_SHARED_LIBRARY=1 -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DNO_RUST -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -Dnextpnr_ecp5_test_EXPORTS -I/gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/include/python3.11 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/ecp5/gtest_include_dir -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/ecp5 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/common -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/frontend/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/json/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/rust/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/json11/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/place/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/route/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/oourafft/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/ecp5 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui -isystem /gnu/store/r94kayv6y93mj1hgc13k11klha366dsw-eigen-3.4.0/include/eigen3 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtWidgets -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtGui -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtCore -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/lib/qt5/mkspecs/linux-g++ -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -fdiagnostics-color=always -fPIC -MD -MT ecp5/CMakeFiles/nextpnr-ecp5-test.dir/__/common/kernel/nextpnr_namespaces.cc.o -MF CMakeFiles/nextpnr-ecp5-test.dir/__/common/kernel/nextpnr_namespaces.cc.o.d -o CMakeFiles/nextpnr-ecp5-test.dir/__/common/kernel/nextpnr_namespaces.cc.o -c /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/nextpnr_namespaces.cc cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/ecp5 && /gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/bin/c++ -DARCHNAME=ecp5 -DARCH_ECP5 -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DGTEST_LINKED_AS_SHARED_LIBRARY=1 -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DNO_RUST -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -Dnextpnr_ecp5_test_EXPORTS -I/gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/include/python3.11 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/ecp5/gtest_include_dir -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/ecp5 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/common -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/frontend/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/json/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/rust/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/json11/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/place/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/route/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/oourafft/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/ecp5 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui -isystem /gnu/store/r94kayv6y93mj1hgc13k11klha366dsw-eigen-3.4.0/include/eigen3 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtWidgets -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtGui -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtCore -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/lib/qt5/mkspecs/linux-g++ -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -fdiagnostics-color=always -fPIC -MD -MT ecp5/CMakeFiles/nextpnr-ecp5-test.dir/__/common/kernel/nextpnr_types.cc.o -MF CMakeFiles/nextpnr-ecp5-test.dir/__/common/kernel/nextpnr_types.cc.o.d -o CMakeFiles/nextpnr-ecp5-test.dir/__/common/kernel/nextpnr_types.cc.o -c /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/nextpnr_types.cc cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/ecp5 && /gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/bin/c++ -DARCHNAME=ecp5 -DARCH_ECP5 -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DGTEST_LINKED_AS_SHARED_LIBRARY=1 -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DNO_RUST -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -Dnextpnr_ecp5_test_EXPORTS -I/gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/include/python3.11 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/ecp5/gtest_include_dir -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/ecp5 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/common -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/frontend/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/json/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/rust/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/json11/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/place/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/route/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/oourafft/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/ecp5 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui -isystem /gnu/store/r94kayv6y93mj1hgc13k11klha366dsw-eigen-3.4.0/include/eigen3 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtWidgets -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtGui -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtCore -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/lib/qt5/mkspecs/linux-g++ -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -fdiagnostics-color=always -fPIC -MD -MT ecp5/CMakeFiles/nextpnr-ecp5-test.dir/__/common/kernel/property.cc.o -MF CMakeFiles/nextpnr-ecp5-test.dir/__/common/kernel/property.cc.o.d -o CMakeFiles/nextpnr-ecp5-test.dir/__/common/kernel/property.cc.o -c /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/property.cc cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/ecp5 && /gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/bin/c++ -DARCHNAME=ecp5 -DARCH_ECP5 -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DGTEST_LINKED_AS_SHARED_LIBRARY=1 -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DNO_RUST -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -Dnextpnr_ecp5_test_EXPORTS -I/gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/include/python3.11 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/ecp5/gtest_include_dir -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/ecp5 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/common -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/frontend/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/json/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/rust/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/json11/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/place/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/route/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/oourafft/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/ecp5 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui -isystem /gnu/store/r94kayv6y93mj1hgc13k11klha366dsw-eigen-3.4.0/include/eigen3 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtWidgets -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtGui -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtCore -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/lib/qt5/mkspecs/linux-g++ -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -fdiagnostics-color=always -fPIC -MD -MT ecp5/CMakeFiles/nextpnr-ecp5-test.dir/__/common/kernel/pybindings.cc.o -MF CMakeFiles/nextpnr-ecp5-test.dir/__/common/kernel/pybindings.cc.o.d -o CMakeFiles/nextpnr-ecp5-test.dir/__/common/kernel/pybindings.cc.o -c /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/pybindings.cc [ 67%] Building CXX object ecp5/CMakeFiles/nextpnr-ecp5.dir/__/common/kernel/str_ring_buffer.cc.o [ 67%] Building CXX object ecp5/CMakeFiles/nextpnr-ecp5.dir/__/common/kernel/sdf.cc.o cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/ecp5 && /gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/bin/c++ -DARCHNAME=ecp5 -DARCH_ECP5 -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DGTEST_LINKED_AS_SHARED_LIBRARY=1 -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DNO_RUST -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -Dnextpnr_ecp5_test_EXPORTS -I/gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/include/python3.11 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/ecp5/gtest_include_dir -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/ecp5 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/common -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/frontend/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/json/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/rust/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/json11/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/place/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/route/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/oourafft/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/ecp5 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui -isystem /gnu/store/r94kayv6y93mj1hgc13k11klha366dsw-eigen-3.4.0/include/eigen3 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtWidgets -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtGui -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtCore -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/lib/qt5/mkspecs/linux-g++ -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -fdiagnostics-color=always -fPIC -MD -MT ecp5/CMakeFiles/nextpnr-ecp5-test.dir/__/common/kernel/report.cc.o -MF CMakeFiles/nextpnr-ecp5-test.dir/__/common/kernel/report.cc.o.d -o CMakeFiles/nextpnr-ecp5-test.dir/__/common/kernel/report.cc.o -c /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/report.cc cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/ecp5 && /gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/bin/c++ -DARCHNAME=ecp5 -DARCH_ECP5 -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DGTEST_LINKED_AS_SHARED_LIBRARY=1 -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DNO_RUST -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -Dnextpnr_ecp5_test_EXPORTS -I/gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/include/python3.11 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/ecp5/gtest_include_dir -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/ecp5 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/common -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/frontend/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/json/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/rust/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/json11/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/place/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/route/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/oourafft/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/ecp5 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui -isystem /gnu/store/r94kayv6y93mj1hgc13k11klha366dsw-eigen-3.4.0/include/eigen3 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtWidgets -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtGui -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtCore -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/lib/qt5/mkspecs/linux-g++ -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -fdiagnostics-color=always -fPIC -MD -MT ecp5/CMakeFiles/nextpnr-ecp5-test.dir/__/common/kernel/sdc.cc.o -MF CMakeFiles/nextpnr-ecp5-test.dir/__/common/kernel/sdc.cc.o.d -o CMakeFiles/nextpnr-ecp5-test.dir/__/common/kernel/sdc.cc.o -c /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/sdc.cc cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/ecp5 && /gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/bin/c++ -DARCHNAME=ecp5 -DARCH_ECP5 -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DNO_RUST -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -Dnextpnr_ecp5_EXPORTS -I/gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/include/python3.11 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/ecp5 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/common -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/frontend/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/json/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/rust/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/json11/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/place/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/route/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/oourafft/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/ecp5 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui -isystem /gnu/store/r94kayv6y93mj1hgc13k11klha366dsw-eigen-3.4.0/include/eigen3 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtWidgets -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtGui -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtCore -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/lib/qt5/mkspecs/linux-g++ -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -fdiagnostics-color=always -fPIC -MD -MT ecp5/CMakeFiles/nextpnr-ecp5.dir/gfx.cc.o -MF CMakeFiles/nextpnr-ecp5.dir/gfx.cc.o.d -o CMakeFiles/nextpnr-ecp5.dir/gfx.cc.o -c /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/ecp5/gfx.cc cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/ecp5 && /gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/bin/c++ -DARCHNAME=ecp5 -DARCH_ECP5 -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DNO_RUST -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -Dnextpnr_ecp5_EXPORTS -I/gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/include/python3.11 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/ecp5 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/common -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/frontend/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/json/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/rust/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/json11/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/place/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/route/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/oourafft/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/ecp5 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui -isystem /gnu/store/r94kayv6y93mj1hgc13k11klha366dsw-eigen-3.4.0/include/eigen3 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtWidgets -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtGui -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtCore -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/lib/qt5/mkspecs/linux-g++ -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -fdiagnostics-color=always -fPIC -MD -MT ecp5/CMakeFiles/nextpnr-ecp5.dir/globals.cc.o -MF CMakeFiles/nextpnr-ecp5.dir/globals.cc.o.d -o CMakeFiles/nextpnr-ecp5.dir/globals.cc.o -c /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/ecp5/globals.cc cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/ecp5 && /gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/bin/c++ -DARCHNAME=ecp5 -DARCH_ECP5 -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DNO_RUST -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -Dnextpnr_ecp5_EXPORTS -I/gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/include/python3.11 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/ecp5 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/common -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/frontend/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/json/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/rust/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/json11/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/place/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/route/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/oourafft/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/ecp5 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui -isystem /gnu/store/r94kayv6y93mj1hgc13k11klha366dsw-eigen-3.4.0/include/eigen3 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtWidgets -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtGui -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtCore -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/lib/qt5/mkspecs/linux-g++ -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -fdiagnostics-color=always -fPIC -MD -MT ecp5/CMakeFiles/nextpnr-ecp5.dir/lpf.cc.o -MF CMakeFiles/nextpnr-ecp5.dir/lpf.cc.o.d -o CMakeFiles/nextpnr-ecp5.dir/lpf.cc.o -c /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/ecp5/lpf.cc cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/ecp5 && /gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/bin/c++ -DARCHNAME=ecp5 -DARCH_ECP5 -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DNO_RUST -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -Dnextpnr_ecp5_EXPORTS -I/gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/include/python3.11 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/ecp5 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/common -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/frontend/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/json/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/rust/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/json11/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/place/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/route/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/oourafft/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/ecp5 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui -isystem /gnu/store/r94kayv6y93mj1hgc13k11klha366dsw-eigen-3.4.0/include/eigen3 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtWidgets -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtGui -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtCore -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/lib/qt5/mkspecs/linux-g++ -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -fdiagnostics-color=always -fPIC -MD -MT ecp5/CMakeFiles/nextpnr-ecp5.dir/pack.cc.o -MF CMakeFiles/nextpnr-ecp5.dir/pack.cc.o.d -o CMakeFiles/nextpnr-ecp5.dir/pack.cc.o -c /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/ecp5/pack.cc cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/ecp5 && /gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/bin/c++ -DARCHNAME=ecp5 -DARCH_ECP5 -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DNO_RUST -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -Dnextpnr_ecp5_EXPORTS -I/gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/include/python3.11 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/ecp5 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/common -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/frontend/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/json/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/rust/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/json11/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/place/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/route/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/oourafft/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/ecp5 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui -isystem /gnu/store/r94kayv6y93mj1hgc13k11klha366dsw-eigen-3.4.0/include/eigen3 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtWidgets -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtGui -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtCore -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/lib/qt5/mkspecs/linux-g++ -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -fdiagnostics-color=always -fPIC -MD -MT ecp5/CMakeFiles/nextpnr-ecp5.dir/pio.cc.o -MF CMakeFiles/nextpnr-ecp5.dir/pio.cc.o.d -o CMakeFiles/nextpnr-ecp5.dir/pio.cc.o -c /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/ecp5/pio.cc cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/ecp5 && /gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/bin/c++ -DARCHNAME=ecp5 -DARCH_ECP5 -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DNO_RUST -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -Dnextpnr_ecp5_EXPORTS -I/gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/include/python3.11 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/ecp5 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/common -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/frontend/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/json/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/rust/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/json11/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/place/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/route/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/oourafft/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/ecp5 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui -isystem /gnu/store/r94kayv6y93mj1hgc13k11klha366dsw-eigen-3.4.0/include/eigen3 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtWidgets -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtGui -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtCore -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/lib/qt5/mkspecs/linux-g++ -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -fdiagnostics-color=always -fPIC -MD -MT ecp5/CMakeFiles/nextpnr-ecp5.dir/__/common/kernel/archcheck.cc.o -MF CMakeFiles/nextpnr-ecp5.dir/__/common/kernel/archcheck.cc.o.d -o CMakeFiles/nextpnr-ecp5.dir/__/common/kernel/archcheck.cc.o -c /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/archcheck.cc cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/ecp5 && /gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/bin/c++ -DARCHNAME=ecp5 -DARCH_ECP5 -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DNO_RUST -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -Dnextpnr_ecp5_EXPORTS -I/gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/include/python3.11 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/ecp5 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/common -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/frontend/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/json/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/rust/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/json11/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/place/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/route/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/oourafft/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/ecp5 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui -isystem /gnu/store/r94kayv6y93mj1hgc13k11klha366dsw-eigen-3.4.0/include/eigen3 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtWidgets -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtGui -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtCore -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/lib/qt5/mkspecs/linux-g++ -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -fdiagnostics-color=always -fPIC -MD -MT ecp5/CMakeFiles/nextpnr-ecp5.dir/__/common/kernel/basectx.cc.o -MF CMakeFiles/nextpnr-ecp5.dir/__/common/kernel/basectx.cc.o.d -o CMakeFiles/nextpnr-ecp5.dir/__/common/kernel/basectx.cc.o -c /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/basectx.cc cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/ecp5 && /gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/bin/c++ -DARCHNAME=ecp5 -DARCH_ECP5 -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DNO_RUST -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -Dnextpnr_ecp5_EXPORTS -I/gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/include/python3.11 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/ecp5 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/common -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/frontend/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/json/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/rust/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/json11/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/place/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/route/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/oourafft/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/ecp5 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui -isystem /gnu/store/r94kayv6y93mj1hgc13k11klha366dsw-eigen-3.4.0/include/eigen3 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtWidgets -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtGui -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtCore -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/lib/qt5/mkspecs/linux-g++ -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -fdiagnostics-color=always -fPIC -MD -MT ecp5/CMakeFiles/nextpnr-ecp5.dir/__/common/kernel/bits.cc.o -MF CMakeFiles/nextpnr-ecp5.dir/__/common/kernel/bits.cc.o.d -o CMakeFiles/nextpnr-ecp5.dir/__/common/kernel/bits.cc.o -c /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/bits.cc cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/ecp5 && /gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/bin/c++ -DARCHNAME=ecp5 -DARCH_ECP5 -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DNO_RUST -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -Dnextpnr_ecp5_EXPORTS -I/gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/include/python3.11 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/ecp5 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/common -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/frontend/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/json/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/rust/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/json11/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/place/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/route/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/oourafft/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/ecp5 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui -isystem /gnu/store/r94kayv6y93mj1hgc13k11klha366dsw-eigen-3.4.0/include/eigen3 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtWidgets -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtGui -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtCore -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/lib/qt5/mkspecs/linux-g++ -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -fdiagnostics-color=always -fPIC -MD -MT ecp5/CMakeFiles/nextpnr-ecp5.dir/__/common/kernel/command.cc.o -MF CMakeFiles/nextpnr-ecp5.dir/__/common/kernel/command.cc.o.d -o CMakeFiles/nextpnr-ecp5.dir/__/common/kernel/command.cc.o -c /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/command.cc cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/ecp5 && /gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/bin/c++ -DARCHNAME=ecp5 -DARCH_ECP5 -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DNO_RUST -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -Dnextpnr_ecp5_EXPORTS -I/gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/include/python3.11 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/ecp5 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/common -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/frontend/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/json/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/rust/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/json11/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/place/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/route/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/oourafft/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/ecp5 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui -isystem /gnu/store/r94kayv6y93mj1hgc13k11klha366dsw-eigen-3.4.0/include/eigen3 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtWidgets -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtGui -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtCore -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/lib/qt5/mkspecs/linux-g++ -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -fdiagnostics-color=always -fPIC -MD -MT ecp5/CMakeFiles/nextpnr-ecp5.dir/__/common/kernel/context.cc.o -MF CMakeFiles/nextpnr-ecp5.dir/__/common/kernel/context.cc.o.d -o CMakeFiles/nextpnr-ecp5.dir/__/common/kernel/context.cc.o -c /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/context.cc [ 67%] Building CXX object ecp5/CMakeFiles/nextpnr-ecp5-test.dir/__/common/kernel/sdf.cc.o cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/ecp5 && /gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/bin/c++ -DARCHNAME=ecp5 -DARCH_ECP5 -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DNO_RUST -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -Dnextpnr_ecp5_EXPORTS -I/gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/include/python3.11 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/ecp5 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/common -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/frontend/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/json/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/rust/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/json11/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/place/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/route/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/oourafft/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/ecp5 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui -isystem /gnu/store/r94kayv6y93mj1hgc13k11klha366dsw-eigen-3.4.0/include/eigen3 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtWidgets -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtGui -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtCore -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/lib/qt5/mkspecs/linux-g++ -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -fdiagnostics-color=always -fPIC -MD -MT ecp5/CMakeFiles/nextpnr-ecp5.dir/__/common/kernel/design_utils.cc.o -MF CMakeFiles/nextpnr-ecp5.dir/__/common/kernel/design_utils.cc.o.d -o CMakeFiles/nextpnr-ecp5.dir/__/common/kernel/design_utils.cc.o -c /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/design_utils.cc cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/ecp5 && /gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/bin/c++ -DARCHNAME=ecp5 -DARCH_ECP5 -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DNO_RUST -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -Dnextpnr_ecp5_EXPORTS -I/gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/include/python3.11 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/ecp5 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/common -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/frontend/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/json/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/rust/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/json11/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/place/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/route/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/oourafft/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/ecp5 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui -isystem /gnu/store/r94kayv6y93mj1hgc13k11klha366dsw-eigen-3.4.0/include/eigen3 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtWidgets -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtGui -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtCore -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/lib/qt5/mkspecs/linux-g++ -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -fdiagnostics-color=always -fPIC -MD -MT ecp5/CMakeFiles/nextpnr-ecp5.dir/__/common/kernel/embed.cc.o -MF CMakeFiles/nextpnr-ecp5.dir/__/common/kernel/embed.cc.o.d -o CMakeFiles/nextpnr-ecp5.dir/__/common/kernel/embed.cc.o -c /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/embed.cc cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/ecp5 && /gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/bin/c++ -DARCHNAME=ecp5 -DARCH_ECP5 -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DNO_RUST -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -Dnextpnr_ecp5_EXPORTS -I/gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/include/python3.11 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/ecp5 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/common -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/frontend/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/json/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/rust/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/json11/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/place/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/route/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/oourafft/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/ecp5 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui -isystem /gnu/store/r94kayv6y93mj1hgc13k11klha366dsw-eigen-3.4.0/include/eigen3 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtWidgets -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtGui -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtCore -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/lib/qt5/mkspecs/linux-g++ -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -fdiagnostics-color=always -fPIC -MD -MT ecp5/CMakeFiles/nextpnr-ecp5.dir/__/common/kernel/handle_error.cc.o -MF CMakeFiles/nextpnr-ecp5.dir/__/common/kernel/handle_error.cc.o.d -o CMakeFiles/nextpnr-ecp5.dir/__/common/kernel/handle_error.cc.o -c /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/handle_error.cc cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/ecp5 && /gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/bin/c++ -DARCHNAME=ecp5 -DARCH_ECP5 -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DGTEST_LINKED_AS_SHARED_LIBRARY=1 -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DNO_RUST -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -Dnextpnr_ecp5_test_EXPORTS -I/gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/include/python3.11 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/ecp5/gtest_include_dir -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/ecp5 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/common -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/frontend/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/json/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/rust/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/json11/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/place/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/route/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/oourafft/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/ecp5 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui -isystem /gnu/store/r94kayv6y93mj1hgc13k11klha366dsw-eigen-3.4.0/include/eigen3 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtWidgets -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtGui -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtCore -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/lib/qt5/mkspecs/linux-g++ -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -fdiagnostics-color=always -fPIC -MD -MT ecp5/CMakeFiles/nextpnr-ecp5-test.dir/__/common/kernel/sdf.cc.o -MF CMakeFiles/nextpnr-ecp5-test.dir/__/common/kernel/sdf.cc.o.d -o CMakeFiles/nextpnr-ecp5-test.dir/__/common/kernel/sdf.cc.o -c /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/sdf.cc [ 68%] Building CXX object ecp5/CMakeFiles/nextpnr-ecp5-test.dir/__/common/kernel/str_ring_buffer.cc.o [ 68%] Building CXX object ecp5/CMakeFiles/nextpnr-ecp5-test.dir/__/common/kernel/svg.cc.o [ 68%] Building CXX object ecp5/CMakeFiles/nextpnr-ecp5-test.dir/__/common/kernel/timing.cc.o [ 68%] Building CXX object ecp5/CMakeFiles/nextpnr-ecp5-test.dir/__/frontend/json_frontend.cc.o cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/ecp5 && /gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/bin/c++ -DARCHNAME=ecp5 -DARCH_ECP5 -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DNO_RUST -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -Dnextpnr_ecp5_EXPORTS -I/gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/include/python3.11 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/ecp5 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/common -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/frontend/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/json/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/rust/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/json11/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/place/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/route/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/oourafft/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/ecp5 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui -isystem /gnu/store/r94kayv6y93mj1hgc13k11klha366dsw-eigen-3.4.0/include/eigen3 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtWidgets -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtGui -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtCore -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/lib/qt5/mkspecs/linux-g++ -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -fdiagnostics-color=always -fPIC -MD -MT ecp5/CMakeFiles/nextpnr-ecp5.dir/__/common/kernel/idstring.cc.o -MF CMakeFiles/nextpnr-ecp5.dir/__/common/kernel/idstring.cc.o.d -o CMakeFiles/nextpnr-ecp5.dir/__/common/kernel/idstring.cc.o -c /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/idstring.cc cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/ecp5 && /gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/bin/c++ -DARCHNAME=ecp5 -DARCH_ECP5 -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DNO_RUST -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -Dnextpnr_ecp5_EXPORTS -I/gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/include/python3.11 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/ecp5 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/common -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/frontend/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/json/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/rust/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/json11/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/place/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/route/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/oourafft/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/ecp5 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui -isystem /gnu/store/r94kayv6y93mj1hgc13k11klha366dsw-eigen-3.4.0/include/eigen3 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtWidgets -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtGui -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtCore -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/lib/qt5/mkspecs/linux-g++ -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -fdiagnostics-color=always -fPIC -MD -MT ecp5/CMakeFiles/nextpnr-ecp5.dir/__/common/kernel/idstringlist.cc.o -MF CMakeFiles/nextpnr-ecp5.dir/__/common/kernel/idstringlist.cc.o.d -o CMakeFiles/nextpnr-ecp5.dir/__/common/kernel/idstringlist.cc.o -c /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/idstringlist.cc cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/ecp5 && /gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/bin/c++ -DARCHNAME=ecp5 -DARCH_ECP5 -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DGTEST_LINKED_AS_SHARED_LIBRARY=1 -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DNO_RUST -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -Dnextpnr_ecp5_test_EXPORTS -I/gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/include/python3.11 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/ecp5/gtest_include_dir -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/ecp5 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/common -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/frontend/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/json/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/rust/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/json11/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/place/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/route/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/oourafft/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/ecp5 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui -isystem /gnu/store/r94kayv6y93mj1hgc13k11klha366dsw-eigen-3.4.0/include/eigen3 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtWidgets -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtGui -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtCore -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/lib/qt5/mkspecs/linux-g++ -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -fdiagnostics-color=always -fPIC -MD -MT ecp5/CMakeFiles/nextpnr-ecp5-test.dir/__/common/kernel/svg.cc.o -MF CMakeFiles/nextpnr-ecp5-test.dir/__/common/kernel/svg.cc.o.d -o CMakeFiles/nextpnr-ecp5-test.dir/__/common/kernel/svg.cc.o -c /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/svg.cc cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/ecp5 && /gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/bin/c++ -DARCHNAME=ecp5 -DARCH_ECP5 -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DNO_RUST -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -Dnextpnr_ecp5_EXPORTS -I/gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/include/python3.11 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/ecp5 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/common -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/frontend/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/json/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/rust/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/json11/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/place/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/route/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/oourafft/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/ecp5 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui -isystem /gnu/store/r94kayv6y93mj1hgc13k11klha366dsw-eigen-3.4.0/include/eigen3 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtWidgets -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtGui -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtCore -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/lib/qt5/mkspecs/linux-g++ -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -fdiagnostics-color=always -fPIC -MD -MT ecp5/CMakeFiles/nextpnr-ecp5.dir/__/common/kernel/log.cc.o -MF CMakeFiles/nextpnr-ecp5.dir/__/common/kernel/log.cc.o.d -o CMakeFiles/nextpnr-ecp5.dir/__/common/kernel/log.cc.o -c /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/log.cc cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/ecp5 && /gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/bin/c++ -DARCHNAME=ecp5 -DARCH_ECP5 -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DGTEST_LINKED_AS_SHARED_LIBRARY=1 -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DNO_RUST -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -Dnextpnr_ecp5_test_EXPORTS -I/gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/include/python3.11 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/ecp5/gtest_include_dir -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/ecp5 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/common -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/frontend/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/json/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/rust/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/json11/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/place/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/route/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/oourafft/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/ecp5 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui -isystem /gnu/store/r94kayv6y93mj1hgc13k11klha366dsw-eigen-3.4.0/include/eigen3 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtWidgets -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtGui -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtCore -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/lib/qt5/mkspecs/linux-g++ -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -fdiagnostics-color=always -fPIC -MD -MT ecp5/CMakeFiles/nextpnr-ecp5-test.dir/__/common/kernel/str_ring_buffer.cc.o -MF CMakeFiles/nextpnr-ecp5-test.dir/__/common/kernel/str_ring_buffer.cc.o.d -o CMakeFiles/nextpnr-ecp5-test.dir/__/common/kernel/str_ring_buffer.cc.o -c /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/str_ring_buffer.cc cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/ecp5 && /gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/bin/c++ -DARCHNAME=ecp5 -DARCH_ECP5 -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DGTEST_LINKED_AS_SHARED_LIBRARY=1 -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DNO_RUST -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -Dnextpnr_ecp5_test_EXPORTS -I/gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/include/python3.11 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/ecp5/gtest_include_dir -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/ecp5 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/common -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/frontend/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/json/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/rust/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/json11/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/place/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/route/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/oourafft/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/ecp5 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui -isystem /gnu/store/r94kayv6y93mj1hgc13k11klha366dsw-eigen-3.4.0/include/eigen3 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtWidgets -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtGui -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtCore -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/lib/qt5/mkspecs/linux-g++ -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -fdiagnostics-color=always -fPIC -MD -MT ecp5/CMakeFiles/nextpnr-ecp5-test.dir/__/common/kernel/timing.cc.o -MF CMakeFiles/nextpnr-ecp5-test.dir/__/common/kernel/timing.cc.o.d -o CMakeFiles/nextpnr-ecp5-test.dir/__/common/kernel/timing.cc.o -c /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/timing.cc [ 69%] Building CXX object ecp5/CMakeFiles/nextpnr-ecp5-test.dir/__/common/place/detail_place_core.cc.o [ 69%] Building CXX object ecp5/CMakeFiles/nextpnr-ecp5-test.dir/__/common/place/place_common.cc.o [ 69%] Building CXX object ecp5/CMakeFiles/nextpnr-ecp5-test.dir/__/common/kernel/timing_log.cc.o [ 69%] Building CXX object ecp5/CMakeFiles/nextpnr-ecp5-test.dir/__/common/place/placer1.cc.o [ 69%] Building CXX object ecp5/CMakeFiles/nextpnr-ecp5-test.dir/__/common/place/parallel_refine.cc.o [ 69%] Building CXX object ecp5/CMakeFiles/nextpnr-ecp5-test.dir/__/common/place/placer_heap.cc.o cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/ecp5 && /gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/bin/c++ -DARCHNAME=ecp5 -DARCH_ECP5 -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DGTEST_LINKED_AS_SHARED_LIBRARY=1 -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DNO_RUST -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -Dnextpnr_ecp5_test_EXPORTS -I/gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/include/python3.11 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/ecp5/gtest_include_dir -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/ecp5 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/common -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/frontend/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/json/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/rust/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/json11/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/place/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/route/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/oourafft/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/ecp5 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui -isystem /gnu/store/r94kayv6y93mj1hgc13k11klha366dsw-eigen-3.4.0/include/eigen3 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtWidgets -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtGui -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtCore -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/lib/qt5/mkspecs/linux-g++ -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -fdiagnostics-color=always -fPIC -MD -MT ecp5/CMakeFiles/nextpnr-ecp5-test.dir/__/frontend/json_frontend.cc.o -MF CMakeFiles/nextpnr-ecp5-test.dir/__/frontend/json_frontend.cc.o.d -o CMakeFiles/nextpnr-ecp5-test.dir/__/frontend/json_frontend.cc.o -c /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/frontend/json_frontend.cc cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/ecp5 && /gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/bin/c++ -DARCHNAME=ecp5 -DARCH_ECP5 -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DNO_RUST -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -Dnextpnr_ecp5_EXPORTS -I/gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/include/python3.11 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/ecp5 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/common -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/frontend/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/json/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/rust/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/json11/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/place/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/route/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/oourafft/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/ecp5 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui -isystem /gnu/store/r94kayv6y93mj1hgc13k11klha366dsw-eigen-3.4.0/include/eigen3 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtWidgets -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtGui -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtCore -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/lib/qt5/mkspecs/linux-g++ -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -fdiagnostics-color=always -fPIC -MD -MT ecp5/CMakeFiles/nextpnr-ecp5.dir/__/common/kernel/nextpnr_assertions.cc.o -MF CMakeFiles/nextpnr-ecp5.dir/__/common/kernel/nextpnr_assertions.cc.o.d -o CMakeFiles/nextpnr-ecp5.dir/__/common/kernel/nextpnr_assertions.cc.o -c /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/nextpnr_assertions.cc cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/ecp5 && /gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/bin/c++ -DARCHNAME=ecp5 -DARCH_ECP5 -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DNO_RUST -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -Dnextpnr_ecp5_EXPORTS -I/gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/include/python3.11 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/ecp5 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/common -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/frontend/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/json/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/rust/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/json11/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/place/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/route/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/oourafft/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/ecp5 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui -isystem /gnu/store/r94kayv6y93mj1hgc13k11klha366dsw-eigen-3.4.0/include/eigen3 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtWidgets -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtGui -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtCore -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/lib/qt5/mkspecs/linux-g++ -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -fdiagnostics-color=always -fPIC -MD -MT ecp5/CMakeFiles/nextpnr-ecp5.dir/__/common/kernel/nextpnr.cc.o -MF CMakeFiles/nextpnr-ecp5.dir/__/common/kernel/nextpnr.cc.o.d -o CMakeFiles/nextpnr-ecp5.dir/__/common/kernel/nextpnr.cc.o -c /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/nextpnr.cc [ 69%] Building CXX object ecp5/CMakeFiles/nextpnr-ecp5-test.dir/__/json/jsonwrite.cc.o cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/ecp5 && /gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/bin/c++ -DARCHNAME=ecp5 -DARCH_ECP5 -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DNO_RUST -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -Dnextpnr_ecp5_EXPORTS -I/gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/include/python3.11 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/ecp5 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/common -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/frontend/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/json/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/rust/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/json11/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/place/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/route/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/oourafft/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/ecp5 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui -isystem /gnu/store/r94kayv6y93mj1hgc13k11klha366dsw-eigen-3.4.0/include/eigen3 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtWidgets -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtGui -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtCore -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/lib/qt5/mkspecs/linux-g++ -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -fdiagnostics-color=always -fPIC -MD -MT ecp5/CMakeFiles/nextpnr-ecp5.dir/__/common/kernel/nextpnr_namespaces.cc.o -MF CMakeFiles/nextpnr-ecp5.dir/__/common/kernel/nextpnr_namespaces.cc.o.d -o CMakeFiles/nextpnr-ecp5.dir/__/common/kernel/nextpnr_namespaces.cc.o -c /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/nextpnr_namespaces.cc cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/ecp5 && /gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/bin/c++ -DARCHNAME=ecp5 -DARCH_ECP5 -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DNO_RUST -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -Dnextpnr_ecp5_EXPORTS -I/gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/include/python3.11 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/ecp5 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/common -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/frontend/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/json/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/rust/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/json11/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/place/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/route/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/oourafft/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/ecp5 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui -isystem /gnu/store/r94kayv6y93mj1hgc13k11klha366dsw-eigen-3.4.0/include/eigen3 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtWidgets -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtGui -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtCore -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/lib/qt5/mkspecs/linux-g++ -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -fdiagnostics-color=always -fPIC -MD -MT ecp5/CMakeFiles/nextpnr-ecp5.dir/__/common/kernel/nextpnr_types.cc.o -MF CMakeFiles/nextpnr-ecp5.dir/__/common/kernel/nextpnr_types.cc.o.d -o CMakeFiles/nextpnr-ecp5.dir/__/common/kernel/nextpnr_types.cc.o -c /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/nextpnr_types.cc cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/ecp5 && /gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/bin/c++ -DARCHNAME=ecp5 -DARCH_ECP5 -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DNO_RUST -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -Dnextpnr_ecp5_EXPORTS -I/gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/include/python3.11 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/ecp5 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/common -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/frontend/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/json/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/rust/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/json11/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/place/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/route/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/oourafft/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/ecp5 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui -isystem /gnu/store/r94kayv6y93mj1hgc13k11klha366dsw-eigen-3.4.0/include/eigen3 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtWidgets -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtGui -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtCore -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/lib/qt5/mkspecs/linux-g++ -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -fdiagnostics-color=always -fPIC -MD -MT ecp5/CMakeFiles/nextpnr-ecp5.dir/__/common/kernel/property.cc.o -MF CMakeFiles/nextpnr-ecp5.dir/__/common/kernel/property.cc.o.d -o CMakeFiles/nextpnr-ecp5.dir/__/common/kernel/property.cc.o -c /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/property.cc [ 69%] Building CXX object ecp5/CMakeFiles/nextpnr-ecp5-test.dir/__/common/place/timing_opt.cc.o [ 69%] Building CXX object ecp5/CMakeFiles/nextpnr-ecp5-test.dir/__/common/route/router2.cc.o cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/ecp5 && /gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/bin/c++ -DARCHNAME=ecp5 -DARCH_ECP5 -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DNO_RUST -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -Dnextpnr_ecp5_EXPORTS -I/gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/include/python3.11 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/ecp5 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/common -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/frontend/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/json/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/rust/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/json11/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/place/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/route/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/oourafft/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/ecp5 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui -isystem /gnu/store/r94kayv6y93mj1hgc13k11klha366dsw-eigen-3.4.0/include/eigen3 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtWidgets -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtGui -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtCore -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/lib/qt5/mkspecs/linux-g++ -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -fdiagnostics-color=always -fPIC -MD -MT ecp5/CMakeFiles/nextpnr-ecp5.dir/__/common/kernel/pybindings.cc.o -MF CMakeFiles/nextpnr-ecp5.dir/__/common/kernel/pybindings.cc.o.d -o CMakeFiles/nextpnr-ecp5.dir/__/common/kernel/pybindings.cc.o -c /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/pybindings.cc [ 69%] Building CXX object ecp5/CMakeFiles/nextpnr-ecp5-test.dir/__/common/place/placer_static.cc.o [ 69%] Building CXX object ecp5/CMakeFiles/nextpnr-ecp5-test.dir/__/common/route/router1.cc.o cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/ecp5 && /gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/bin/c++ -DARCHNAME=ecp5 -DARCH_ECP5 -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DGTEST_LINKED_AS_SHARED_LIBRARY=1 -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DNO_RUST -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -Dnextpnr_ecp5_test_EXPORTS -I/gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/include/python3.11 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/ecp5/gtest_include_dir -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/ecp5 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/common -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/frontend/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/json/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/rust/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/json11/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/place/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/route/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/oourafft/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/ecp5 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui -isystem /gnu/store/r94kayv6y93mj1hgc13k11klha366dsw-eigen-3.4.0/include/eigen3 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtWidgets -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtGui -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtCore -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/lib/qt5/mkspecs/linux-g++ -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -fdiagnostics-color=always -fPIC -MD -MT ecp5/CMakeFiles/nextpnr-ecp5-test.dir/__/common/kernel/timing_log.cc.o -MF CMakeFiles/nextpnr-ecp5-test.dir/__/common/kernel/timing_log.cc.o.d -o CMakeFiles/nextpnr-ecp5-test.dir/__/common/kernel/timing_log.cc.o -c /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/timing_log.cc [ 69%] Building CXX object ecp5/CMakeFiles/nextpnr-ecp5-test.dir/__/3rdparty/oourafft/fftsg.cc.o [ 69%] Building CXX object ecp5/CMakeFiles/nextpnr-ecp5-test.dir/__/3rdparty/oourafft/fftsg2d.cc.o cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/ecp5 && /gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/bin/c++ -DARCHNAME=ecp5 -DARCH_ECP5 -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DGTEST_LINKED_AS_SHARED_LIBRARY=1 -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DNO_RUST -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -Dnextpnr_ecp5_test_EXPORTS -I/gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/include/python3.11 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/ecp5/gtest_include_dir -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/ecp5 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/common -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/frontend/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/json/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/rust/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/json11/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/place/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/route/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/oourafft/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/ecp5 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui -isystem /gnu/store/r94kayv6y93mj1hgc13k11klha366dsw-eigen-3.4.0/include/eigen3 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtWidgets -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtGui -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtCore -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/lib/qt5/mkspecs/linux-g++ -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -fdiagnostics-color=always -fPIC -MD -MT ecp5/CMakeFiles/nextpnr-ecp5-test.dir/__/json/jsonwrite.cc.o -MF CMakeFiles/nextpnr-ecp5-test.dir/__/json/jsonwrite.cc.o.d -o CMakeFiles/nextpnr-ecp5-test.dir/__/json/jsonwrite.cc.o -c /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/json/jsonwrite.cc cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/ecp5 && /gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/bin/c++ -DARCHNAME=ecp5 -DARCH_ECP5 -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DGTEST_LINKED_AS_SHARED_LIBRARY=1 -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DNO_RUST -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -Dnextpnr_ecp5_test_EXPORTS -I/gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/include/python3.11 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/ecp5/gtest_include_dir -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/ecp5 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/common -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/frontend/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/json/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/rust/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/json11/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/place/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/route/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/oourafft/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/ecp5 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui -isystem /gnu/store/r94kayv6y93mj1hgc13k11klha366dsw-eigen-3.4.0/include/eigen3 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtWidgets -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtGui -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtCore -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/lib/qt5/mkspecs/linux-g++ -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -fdiagnostics-color=always -fPIC -MD -MT ecp5/CMakeFiles/nextpnr-ecp5-test.dir/__/common/place/detail_place_core.cc.o -MF CMakeFiles/nextpnr-ecp5-test.dir/__/common/place/detail_place_core.cc.o.d -o CMakeFiles/nextpnr-ecp5-test.dir/__/common/place/detail_place_core.cc.o -c /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/place/detail_place_core.cc cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/ecp5 && /gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/bin/c++ -DARCHNAME=ecp5 -DARCH_ECP5 -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DGTEST_LINKED_AS_SHARED_LIBRARY=1 -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DNO_RUST -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -Dnextpnr_ecp5_test_EXPORTS -I/gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/include/python3.11 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/ecp5/gtest_include_dir -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/ecp5 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/common -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/frontend/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/json/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/rust/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/json11/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/place/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/route/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/oourafft/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/ecp5 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui -isystem /gnu/store/r94kayv6y93mj1hgc13k11klha366dsw-eigen-3.4.0/include/eigen3 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtWidgets -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtGui -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtCore -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/lib/qt5/mkspecs/linux-g++ -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -fdiagnostics-color=always -fPIC -MD -MT ecp5/CMakeFiles/nextpnr-ecp5-test.dir/__/common/place/parallel_refine.cc.o -MF CMakeFiles/nextpnr-ecp5-test.dir/__/common/place/parallel_refine.cc.o.d -o CMakeFiles/nextpnr-ecp5-test.dir/__/common/place/parallel_refine.cc.o -c /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/place/parallel_refine.cc cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/ecp5 && /gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/bin/c++ -DARCHNAME=ecp5 -DARCH_ECP5 -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DGTEST_LINKED_AS_SHARED_LIBRARY=1 -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DNO_RUST -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -Dnextpnr_ecp5_test_EXPORTS -I/gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/include/python3.11 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/ecp5/gtest_include_dir -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/ecp5 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/common -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/frontend/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/json/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/rust/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/json11/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/place/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/route/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/oourafft/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/ecp5 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui -isystem /gnu/store/r94kayv6y93mj1hgc13k11klha366dsw-eigen-3.4.0/include/eigen3 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtWidgets -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtGui -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtCore -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/lib/qt5/mkspecs/linux-g++ -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -fdiagnostics-color=always -fPIC -MD -MT ecp5/CMakeFiles/nextpnr-ecp5-test.dir/__/common/place/place_common.cc.o -MF CMakeFiles/nextpnr-ecp5-test.dir/__/common/place/place_common.cc.o.d -o CMakeFiles/nextpnr-ecp5-test.dir/__/common/place/place_common.cc.o -c /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/place/place_common.cc [ 70%] Building CXX object ecp5/CMakeFiles/nextpnr-ecp5-test.dir/chipdb-85k.bin.cc.o cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/ecp5 && /gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/bin/c++ -DARCHNAME=ecp5 -DARCH_ECP5 -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DGTEST_LINKED_AS_SHARED_LIBRARY=1 -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DNO_RUST -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -Dnextpnr_ecp5_test_EXPORTS -I/gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/include/python3.11 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/ecp5/gtest_include_dir -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/ecp5 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/common -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/frontend/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/json/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/rust/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/json11/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/place/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/route/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/oourafft/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/ecp5 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui -isystem /gnu/store/r94kayv6y93mj1hgc13k11klha366dsw-eigen-3.4.0/include/eigen3 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtWidgets -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtGui -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtCore -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/lib/qt5/mkspecs/linux-g++ -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -fdiagnostics-color=always -fPIC -MD -MT ecp5/CMakeFiles/nextpnr-ecp5-test.dir/__/common/place/placer1.cc.o -MF CMakeFiles/nextpnr-ecp5-test.dir/__/common/place/placer1.cc.o.d -o CMakeFiles/nextpnr-ecp5-test.dir/__/common/place/placer1.cc.o -c /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/place/placer1.cc [ 70%] Building CXX object ecp5/CMakeFiles/nextpnr-ecp5-test.dir/chipdb-25k.bin.cc.o [ 70%] Building CXX object ecp5/CMakeFiles/nextpnr-ecp5-test.dir/chipdb-45k.bin.cc.o cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/ecp5 && /gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/bin/c++ -DARCHNAME=ecp5 -DARCH_ECP5 -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DGTEST_LINKED_AS_SHARED_LIBRARY=1 -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DNO_RUST -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -Dnextpnr_ecp5_test_EXPORTS -I/gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/include/python3.11 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/ecp5/gtest_include_dir -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/ecp5 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/common -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/frontend/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/json/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/rust/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/json11/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/place/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/route/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/oourafft/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/ecp5 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui -isystem /gnu/store/r94kayv6y93mj1hgc13k11klha366dsw-eigen-3.4.0/include/eigen3 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtWidgets -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtGui -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtCore -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/lib/qt5/mkspecs/linux-g++ -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -fdiagnostics-color=always -fPIC -MD -MT ecp5/CMakeFiles/nextpnr-ecp5-test.dir/__/common/place/placer_heap.cc.o -MF CMakeFiles/nextpnr-ecp5-test.dir/__/common/place/placer_heap.cc.o.d -o CMakeFiles/nextpnr-ecp5-test.dir/__/common/place/placer_heap.cc.o -c /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/place/placer_heap.cc cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/ecp5 && /gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/bin/c++ -DARCHNAME=ecp5 -DARCH_ECP5 -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DNO_RUST -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -Dnextpnr_ecp5_EXPORTS -I/gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/include/python3.11 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/ecp5 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/common -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/frontend/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/json/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/rust/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/json11/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/place/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/route/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/oourafft/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/ecp5 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui -isystem /gnu/store/r94kayv6y93mj1hgc13k11klha366dsw-eigen-3.4.0/include/eigen3 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtWidgets -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtGui -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtCore -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/lib/qt5/mkspecs/linux-g++ -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -fdiagnostics-color=always -fPIC -MD -MT ecp5/CMakeFiles/nextpnr-ecp5.dir/__/common/kernel/report.cc.o -MF CMakeFiles/nextpnr-ecp5.dir/__/common/kernel/report.cc.o.d -o CMakeFiles/nextpnr-ecp5.dir/__/common/kernel/report.cc.o -c /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/report.cc cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/ecp5 && /gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/bin/c++ -DARCHNAME=ecp5 -DARCH_ECP5 -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DGTEST_LINKED_AS_SHARED_LIBRARY=1 -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DNO_RUST -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -Dnextpnr_ecp5_test_EXPORTS -I/gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/include/python3.11 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/ecp5/gtest_include_dir -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/ecp5 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/common -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/frontend/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/json/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/rust/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/json11/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/place/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/route/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/oourafft/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/ecp5 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui -isystem /gnu/store/r94kayv6y93mj1hgc13k11klha366dsw-eigen-3.4.0/include/eigen3 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtWidgets -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtGui -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtCore -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/lib/qt5/mkspecs/linux-g++ -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -fdiagnostics-color=always -fPIC -MD -MT ecp5/CMakeFiles/nextpnr-ecp5-test.dir/__/common/place/placer_static.cc.o -MF CMakeFiles/nextpnr-ecp5-test.dir/__/common/place/placer_static.cc.o.d -o CMakeFiles/nextpnr-ecp5-test.dir/__/common/place/placer_static.cc.o -c /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/place/placer_static.cc cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/ecp5 && /gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/bin/c++ -DARCHNAME=ecp5 -DARCH_ECP5 -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DNO_RUST -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -Dnextpnr_ecp5_EXPORTS -I/gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/include/python3.11 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/ecp5 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/common -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/frontend/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/json/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/rust/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/json11/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/place/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/route/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/oourafft/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/ecp5 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui -isystem /gnu/store/r94kayv6y93mj1hgc13k11klha366dsw-eigen-3.4.0/include/eigen3 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtWidgets -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtGui -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtCore -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/lib/qt5/mkspecs/linux-g++ -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -fdiagnostics-color=always -fPIC -MD -MT ecp5/CMakeFiles/nextpnr-ecp5.dir/__/common/kernel/sdc.cc.o -MF CMakeFiles/nextpnr-ecp5.dir/__/common/kernel/sdc.cc.o.d -o CMakeFiles/nextpnr-ecp5.dir/__/common/kernel/sdc.cc.o -c /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/sdc.cc [ 70%] Building CXX object ecp5/CMakeFiles/nextpnr-ecp5-test.dir/__/tests/gui/quadtree.cc.o cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/ecp5 && /gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/bin/c++ -DARCHNAME=ecp5 -DARCH_ECP5 -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DGTEST_LINKED_AS_SHARED_LIBRARY=1 -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DNO_RUST -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -Dnextpnr_ecp5_test_EXPORTS -I/gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/include/python3.11 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/ecp5/gtest_include_dir -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/ecp5 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/common -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/frontend/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/json/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/rust/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/json11/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/place/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/route/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/oourafft/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/ecp5 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui -isystem /gnu/store/r94kayv6y93mj1hgc13k11klha366dsw-eigen-3.4.0/include/eigen3 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtWidgets -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtGui -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtCore -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/lib/qt5/mkspecs/linux-g++ -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -fdiagnostics-color=always -fPIC -MD -MT ecp5/CMakeFiles/nextpnr-ecp5-test.dir/__/common/place/timing_opt.cc.o -MF CMakeFiles/nextpnr-ecp5-test.dir/__/common/place/timing_opt.cc.o.d -o CMakeFiles/nextpnr-ecp5-test.dir/__/common/place/timing_opt.cc.o -c /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/place/timing_opt.cc cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/ecp5 && /gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/bin/c++ -DARCHNAME=ecp5 -DARCH_ECP5 -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DNO_RUST -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -Dnextpnr_ecp5_EXPORTS -I/gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/include/python3.11 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/ecp5 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/common -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/frontend/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/json/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/rust/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/json11/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/place/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/route/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/oourafft/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/ecp5 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui -isystem /gnu/store/r94kayv6y93mj1hgc13k11klha366dsw-eigen-3.4.0/include/eigen3 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtWidgets -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtGui -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtCore -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/lib/qt5/mkspecs/linux-g++ -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -fdiagnostics-color=always -fPIC -MD -MT ecp5/CMakeFiles/nextpnr-ecp5.dir/__/common/kernel/sdf.cc.o -MF CMakeFiles/nextpnr-ecp5.dir/__/common/kernel/sdf.cc.o.d -o CMakeFiles/nextpnr-ecp5.dir/__/common/kernel/sdf.cc.o -c /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/sdf.cc cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/ecp5 && /gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/bin/c++ -DARCHNAME=ecp5 -DARCH_ECP5 -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DGTEST_LINKED_AS_SHARED_LIBRARY=1 -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DNO_RUST -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -Dnextpnr_ecp5_test_EXPORTS -I/gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/include/python3.11 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/ecp5/gtest_include_dir -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/ecp5 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/common -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/frontend/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/json/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/rust/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/json11/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/place/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/route/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/oourafft/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/ecp5 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui -isystem /gnu/store/r94kayv6y93mj1hgc13k11klha366dsw-eigen-3.4.0/include/eigen3 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtWidgets -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtGui -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtCore -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/lib/qt5/mkspecs/linux-g++ -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -fdiagnostics-color=always -fPIC -MD -MT ecp5/CMakeFiles/nextpnr-ecp5-test.dir/__/common/route/router1.cc.o -MF CMakeFiles/nextpnr-ecp5-test.dir/__/common/route/router1.cc.o.d -o CMakeFiles/nextpnr-ecp5-test.dir/__/common/route/router1.cc.o -c /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/route/router1.cc cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/ecp5 && /gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/bin/c++ -DARCHNAME=ecp5 -DARCH_ECP5 -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DGTEST_LINKED_AS_SHARED_LIBRARY=1 -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DNO_RUST -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -Dnextpnr_ecp5_test_EXPORTS -I/gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/include/python3.11 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/ecp5/gtest_include_dir -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/ecp5 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/common -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/frontend/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/json/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/rust/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/json11/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/place/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/route/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/oourafft/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/ecp5 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui -isystem /gnu/store/r94kayv6y93mj1hgc13k11klha366dsw-eigen-3.4.0/include/eigen3 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtWidgets -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtGui -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtCore -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/lib/qt5/mkspecs/linux-g++ -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -fdiagnostics-color=always -fPIC -MD -MT ecp5/CMakeFiles/nextpnr-ecp5-test.dir/__/common/route/router2.cc.o -MF CMakeFiles/nextpnr-ecp5-test.dir/__/common/route/router2.cc.o.d -o CMakeFiles/nextpnr-ecp5-test.dir/__/common/route/router2.cc.o -c /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/route/router2.cc cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/ecp5 && /gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/bin/c++ -DARCHNAME=ecp5 -DARCH_ECP5 -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DNO_RUST -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -Dnextpnr_ecp5_EXPORTS -I/gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/include/python3.11 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/ecp5 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/common -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/frontend/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/json/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/rust/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/json11/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/place/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/route/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/oourafft/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/ecp5 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui -isystem /gnu/store/r94kayv6y93mj1hgc13k11klha366dsw-eigen-3.4.0/include/eigen3 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtWidgets -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtGui -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtCore -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/lib/qt5/mkspecs/linux-g++ -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -fdiagnostics-color=always -fPIC -MD -MT ecp5/CMakeFiles/nextpnr-ecp5.dir/__/common/kernel/str_ring_buffer.cc.o -MF CMakeFiles/nextpnr-ecp5.dir/__/common/kernel/str_ring_buffer.cc.o.d -o CMakeFiles/nextpnr-ecp5.dir/__/common/kernel/str_ring_buffer.cc.o -c /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/str_ring_buffer.cc cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/ecp5 && /gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/bin/c++ -DARCHNAME=ecp5 -DARCH_ECP5 -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DGTEST_LINKED_AS_SHARED_LIBRARY=1 -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DNO_RUST -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -Dnextpnr_ecp5_test_EXPORTS -I/gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/include/python3.11 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/ecp5/gtest_include_dir -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/ecp5 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/common -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/frontend/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/json/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/rust/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/json11/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/place/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/route/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/oourafft/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/ecp5 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui -isystem /gnu/store/r94kayv6y93mj1hgc13k11klha366dsw-eigen-3.4.0/include/eigen3 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtWidgets -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtGui -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtCore -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/lib/qt5/mkspecs/linux-g++ -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -fdiagnostics-color=always -fPIC -MD -MT ecp5/CMakeFiles/nextpnr-ecp5-test.dir/__/3rdparty/oourafft/fftsg.cc.o -MF CMakeFiles/nextpnr-ecp5-test.dir/__/3rdparty/oourafft/fftsg.cc.o.d -o CMakeFiles/nextpnr-ecp5-test.dir/__/3rdparty/oourafft/fftsg.cc.o -c /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/oourafft/fftsg.cc cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/ecp5 && /gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/bin/c++ -DARCHNAME=ecp5 -DARCH_ECP5 -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DGTEST_LINKED_AS_SHARED_LIBRARY=1 -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DNO_RUST -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -Dnextpnr_ecp5_test_EXPORTS -I/gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/include/python3.11 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/ecp5/gtest_include_dir -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/ecp5 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/common -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/frontend/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/json/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/rust/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/json11/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/place/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/route/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/oourafft/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/ecp5 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui -isystem /gnu/store/r94kayv6y93mj1hgc13k11klha366dsw-eigen-3.4.0/include/eigen3 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtWidgets -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtGui -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtCore -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/lib/qt5/mkspecs/linux-g++ -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -fdiagnostics-color=always -fPIC -MD -MT ecp5/CMakeFiles/nextpnr-ecp5-test.dir/__/3rdparty/oourafft/fftsg2d.cc.o -MF CMakeFiles/nextpnr-ecp5-test.dir/__/3rdparty/oourafft/fftsg2d.cc.o.d -o CMakeFiles/nextpnr-ecp5-test.dir/__/3rdparty/oourafft/fftsg2d.cc.o -c /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/oourafft/fftsg2d.cc cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/ecp5 && /gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/bin/c++ -DARCHNAME=ecp5 -DARCH_ECP5 -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DGTEST_LINKED_AS_SHARED_LIBRARY=1 -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DNO_RUST -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -Dnextpnr_ecp5_test_EXPORTS -I/gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/include/python3.11 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/ecp5/gtest_include_dir -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/ecp5 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/common -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/frontend/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/json/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/rust/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/json11/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/place/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/route/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/oourafft/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/ecp5 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui -isystem /gnu/store/r94kayv6y93mj1hgc13k11klha366dsw-eigen-3.4.0/include/eigen3 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtWidgets -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtGui -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtCore -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/lib/qt5/mkspecs/linux-g++ -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -fdiagnostics-color=always -fPIC -w -g0 -O0 -MD -MT ecp5/CMakeFiles/nextpnr-ecp5-test.dir/chipdb-25k.bin.cc.o -MF CMakeFiles/nextpnr-ecp5-test.dir/chipdb-25k.bin.cc.o.d -o CMakeFiles/nextpnr-ecp5-test.dir/chipdb-25k.bin.cc.o -c /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/ecp5/chipdb-25k.bin.cc cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/ecp5 && /gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/bin/c++ -DARCHNAME=ecp5 -DARCH_ECP5 -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DGTEST_LINKED_AS_SHARED_LIBRARY=1 -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DNO_RUST -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -Dnextpnr_ecp5_test_EXPORTS -I/gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/include/python3.11 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/ecp5/gtest_include_dir -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/ecp5 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/common -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/frontend/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/json/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/rust/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/json11/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/place/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/route/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/oourafft/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/ecp5 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui -isystem /gnu/store/r94kayv6y93mj1hgc13k11klha366dsw-eigen-3.4.0/include/eigen3 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtWidgets -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtGui -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtCore -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/lib/qt5/mkspecs/linux-g++ -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -fdiagnostics-color=always -fPIC -w -g0 -O0 -MD -MT ecp5/CMakeFiles/nextpnr-ecp5-test.dir/chipdb-45k.bin.cc.o -MF CMakeFiles/nextpnr-ecp5-test.dir/chipdb-45k.bin.cc.o.d -o CMakeFiles/nextpnr-ecp5-test.dir/chipdb-45k.bin.cc.o -c /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/ecp5/chipdb-45k.bin.cc cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/ecp5 && /gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/bin/c++ -DARCHNAME=ecp5 -DARCH_ECP5 -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DGTEST_LINKED_AS_SHARED_LIBRARY=1 -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DNO_RUST -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -Dnextpnr_ecp5_test_EXPORTS -I/gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/include/python3.11 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/ecp5/gtest_include_dir -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/ecp5 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/common -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/frontend/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/json/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/rust/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/json11/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/place/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/route/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/oourafft/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/ecp5 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui -isystem /gnu/store/r94kayv6y93mj1hgc13k11klha366dsw-eigen-3.4.0/include/eigen3 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtWidgets -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtGui -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtCore -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/lib/qt5/mkspecs/linux-g++ -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -fdiagnostics-color=always -fPIC -w -g0 -O0 -MD -MT ecp5/CMakeFiles/nextpnr-ecp5-test.dir/chipdb-85k.bin.cc.o -MF CMakeFiles/nextpnr-ecp5-test.dir/chipdb-85k.bin.cc.o.d -o CMakeFiles/nextpnr-ecp5-test.dir/chipdb-85k.bin.cc.o -c /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/ecp5/chipdb-85k.bin.cc cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/ecp5 && /gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/bin/c++ -DARCHNAME=ecp5 -DARCH_ECP5 -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DGTEST_LINKED_AS_SHARED_LIBRARY=1 -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DNO_RUST -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -Dnextpnr_ecp5_test_EXPORTS -I/gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/include/python3.11 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/ecp5/gtest_include_dir -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/ecp5 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/common -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/frontend/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/json/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/rust/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/json11/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/place/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/route/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/oourafft/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/ecp5 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui -isystem /gnu/store/r94kayv6y93mj1hgc13k11klha366dsw-eigen-3.4.0/include/eigen3 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtWidgets -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtGui -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtCore -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/lib/qt5/mkspecs/linux-g++ -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -fdiagnostics-color=always -fPIC -MD -MT ecp5/CMakeFiles/nextpnr-ecp5-test.dir/__/tests/gui/quadtree.cc.o -MF CMakeFiles/nextpnr-ecp5-test.dir/__/tests/gui/quadtree.cc.o.d -o CMakeFiles/nextpnr-ecp5-test.dir/__/tests/gui/quadtree.cc.o -c /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/tests/gui/quadtree.cc [ 71%] Building CXX object ecp5/CMakeFiles/nextpnr-ecp5.dir/__/json/jsonwrite.cc.o [ 71%] Building CXX object ecp5/CMakeFiles/nextpnr-ecp5.dir/__/common/place/parallel_refine.cc.o [ 71%] Building CXX object ecp5/CMakeFiles/nextpnr-ecp5.dir/__/common/kernel/timing_log.cc.o [ 71%] Building CXX object ecp5/CMakeFiles/nextpnr-ecp5.dir/__/common/kernel/timing.cc.o [ 71%] Building CXX object ecp5/CMakeFiles/nextpnr-ecp5.dir/__/frontend/json_frontend.cc.o [ 71%] Building CXX object ecp5/CMakeFiles/nextpnr-ecp5.dir/__/common/kernel/svg.cc.o [ 71%] Building CXX object ecp5/CMakeFiles/nextpnr-ecp5.dir/__/common/place/place_common.cc.o [ 71%] Building CXX object ecp5/CMakeFiles/nextpnr-ecp5.dir/__/common/place/detail_place_core.cc.o cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/ecp5 && /gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/bin/c++ -DARCHNAME=ecp5 -DARCH_ECP5 -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DNO_RUST -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -Dnextpnr_ecp5_EXPORTS -I/gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/include/python3.11 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/ecp5 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/common -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/frontend/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/json/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/rust/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/json11/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/place/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/route/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/oourafft/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/ecp5 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui -isystem /gnu/store/r94kayv6y93mj1hgc13k11klha366dsw-eigen-3.4.0/include/eigen3 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtWidgets -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtGui -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtCore -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/lib/qt5/mkspecs/linux-g++ -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -fdiagnostics-color=always -fPIC -MD -MT ecp5/CMakeFiles/nextpnr-ecp5.dir/__/frontend/json_frontend.cc.o -MF CMakeFiles/nextpnr-ecp5.dir/__/frontend/json_frontend.cc.o.d -o CMakeFiles/nextpnr-ecp5.dir/__/frontend/json_frontend.cc.o -c /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/frontend/json_frontend.cc cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/ecp5 && /gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/bin/c++ -DARCHNAME=ecp5 -DARCH_ECP5 -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DNO_RUST -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -Dnextpnr_ecp5_EXPORTS -I/gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/include/python3.11 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/ecp5 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/common -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/frontend/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/json/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/rust/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/json11/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/place/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/route/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/oourafft/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/ecp5 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui -isystem /gnu/store/r94kayv6y93mj1hgc13k11klha366dsw-eigen-3.4.0/include/eigen3 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtWidgets -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtGui -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtCore -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/lib/qt5/mkspecs/linux-g++ -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -fdiagnostics-color=always -fPIC -MD -MT ecp5/CMakeFiles/nextpnr-ecp5.dir/__/common/kernel/svg.cc.o -MF CMakeFiles/nextpnr-ecp5.dir/__/common/kernel/svg.cc.o.d -o CMakeFiles/nextpnr-ecp5.dir/__/common/kernel/svg.cc.o -c /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/svg.cc cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/ecp5 && /gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/bin/c++ -DARCHNAME=ecp5 -DARCH_ECP5 -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DNO_RUST -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -Dnextpnr_ecp5_EXPORTS -I/gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/include/python3.11 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/ecp5 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/common -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/frontend/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/json/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/rust/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/json11/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/place/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/route/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/oourafft/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/ecp5 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui -isystem /gnu/store/r94kayv6y93mj1hgc13k11klha366dsw-eigen-3.4.0/include/eigen3 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtWidgets -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtGui -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtCore -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/lib/qt5/mkspecs/linux-g++ -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -fdiagnostics-color=always -fPIC -MD -MT ecp5/CMakeFiles/nextpnr-ecp5.dir/__/common/kernel/timing.cc.o -MF CMakeFiles/nextpnr-ecp5.dir/__/common/kernel/timing.cc.o.d -o CMakeFiles/nextpnr-ecp5.dir/__/common/kernel/timing.cc.o -c /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/timing.cc cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/ecp5 && /gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/bin/c++ -DARCHNAME=ecp5 -DARCH_ECP5 -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DNO_RUST -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -Dnextpnr_ecp5_EXPORTS -I/gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/include/python3.11 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/ecp5 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/common -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/frontend/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/json/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/rust/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/json11/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/place/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/route/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/oourafft/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/ecp5 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui -isystem /gnu/store/r94kayv6y93mj1hgc13k11klha366dsw-eigen-3.4.0/include/eigen3 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtWidgets -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtGui -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtCore -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/lib/qt5/mkspecs/linux-g++ -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -fdiagnostics-color=always -fPIC -MD -MT ecp5/CMakeFiles/nextpnr-ecp5.dir/__/common/kernel/timing_log.cc.o -MF CMakeFiles/nextpnr-ecp5.dir/__/common/kernel/timing_log.cc.o.d -o CMakeFiles/nextpnr-ecp5.dir/__/common/kernel/timing_log.cc.o -c /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/timing_log.cc cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/ecp5 && /gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/bin/c++ -DARCHNAME=ecp5 -DARCH_ECP5 -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DNO_RUST -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -Dnextpnr_ecp5_EXPORTS -I/gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/include/python3.11 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/ecp5 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/common -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/frontend/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/json/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/rust/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/json11/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/place/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/route/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/oourafft/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/ecp5 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui -isystem /gnu/store/r94kayv6y93mj1hgc13k11klha366dsw-eigen-3.4.0/include/eigen3 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtWidgets -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtGui -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtCore -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/lib/qt5/mkspecs/linux-g++ -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -fdiagnostics-color=always -fPIC -MD -MT ecp5/CMakeFiles/nextpnr-ecp5.dir/__/json/jsonwrite.cc.o -MF CMakeFiles/nextpnr-ecp5.dir/__/json/jsonwrite.cc.o.d -o CMakeFiles/nextpnr-ecp5.dir/__/json/jsonwrite.cc.o -c /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/json/jsonwrite.cc cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/ecp5 && /gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/bin/c++ -DARCHNAME=ecp5 -DARCH_ECP5 -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DNO_RUST -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -Dnextpnr_ecp5_EXPORTS -I/gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/include/python3.11 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/ecp5 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/common -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/frontend/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/json/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/rust/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/json11/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/place/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/route/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/oourafft/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/ecp5 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui -isystem /gnu/store/r94kayv6y93mj1hgc13k11klha366dsw-eigen-3.4.0/include/eigen3 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtWidgets -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtGui -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtCore -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/lib/qt5/mkspecs/linux-g++ -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -fdiagnostics-color=always -fPIC -MD -MT ecp5/CMakeFiles/nextpnr-ecp5.dir/__/common/place/detail_place_core.cc.o -MF CMakeFiles/nextpnr-ecp5.dir/__/common/place/detail_place_core.cc.o.d -o CMakeFiles/nextpnr-ecp5.dir/__/common/place/detail_place_core.cc.o -c /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/place/detail_place_core.cc cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/ecp5 && /gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/bin/c++ -DARCHNAME=ecp5 -DARCH_ECP5 -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DNO_RUST -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -Dnextpnr_ecp5_EXPORTS -I/gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/include/python3.11 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/ecp5 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/common -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/frontend/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/json/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/rust/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/json11/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/place/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/route/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/oourafft/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/ecp5 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui -isystem /gnu/store/r94kayv6y93mj1hgc13k11klha366dsw-eigen-3.4.0/include/eigen3 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtWidgets -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtGui -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtCore -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/lib/qt5/mkspecs/linux-g++ -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -fdiagnostics-color=always -fPIC -MD -MT ecp5/CMakeFiles/nextpnr-ecp5.dir/__/common/place/parallel_refine.cc.o -MF CMakeFiles/nextpnr-ecp5.dir/__/common/place/parallel_refine.cc.o.d -o CMakeFiles/nextpnr-ecp5.dir/__/common/place/parallel_refine.cc.o -c /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/place/parallel_refine.cc cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/ecp5 && /gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/bin/c++ -DARCHNAME=ecp5 -DARCH_ECP5 -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DNO_RUST -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -Dnextpnr_ecp5_EXPORTS -I/gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/include/python3.11 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/ecp5 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/common -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/frontend/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/json/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/rust/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/json11/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/place/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/route/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/oourafft/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/ecp5 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui -isystem /gnu/store/r94kayv6y93mj1hgc13k11klha366dsw-eigen-3.4.0/include/eigen3 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtWidgets -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtGui -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtCore -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/lib/qt5/mkspecs/linux-g++ -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -fdiagnostics-color=always -fPIC -MD -MT ecp5/CMakeFiles/nextpnr-ecp5.dir/__/common/place/place_common.cc.o -MF CMakeFiles/nextpnr-ecp5.dir/__/common/place/place_common.cc.o.d -o CMakeFiles/nextpnr-ecp5.dir/__/common/place/place_common.cc.o -c /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/place/place_common.cc [ 72%] Building CXX object ecp5/CMakeFiles/nextpnr-ecp5.dir/chipdb-85k.bin.cc.o [ 72%] Building CXX object ecp5/CMakeFiles/nextpnr-ecp5.dir/__/3rdparty/oourafft/fftsg.cc.o [ 72%] Building CXX object ecp5/CMakeFiles/nextpnr-ecp5.dir/chipdb-25k.bin.cc.o [ 72%] Building CXX object ecp5/CMakeFiles/nextpnr-ecp5.dir/__/3rdparty/oourafft/fftsg2d.cc.o [ 72%] Building CXX object ecp5/CMakeFiles/nextpnr-ecp5.dir/chipdb-45k.bin.cc.o cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/ecp5 && /gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/bin/c++ -DARCHNAME=ecp5 -DARCH_ECP5 -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DNO_RUST -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -Dnextpnr_ecp5_EXPORTS -I/gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/include/python3.11 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/ecp5 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/common -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/frontend/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/json/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/rust/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/json11/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/place/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/route/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/oourafft/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/ecp5 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui -isystem /gnu/store/r94kayv6y93mj1hgc13k11klha366dsw-eigen-3.4.0/include/eigen3 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtWidgets -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtGui -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtCore -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/lib/qt5/mkspecs/linux-g++ -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -fdiagnostics-color=always -fPIC -w -g0 -O0 -MD -MT ecp5/CMakeFiles/nextpnr-ecp5.dir/chipdb-25k.bin.cc.o -MF CMakeFiles/nextpnr-ecp5.dir/chipdb-25k.bin.cc.o.d -o CMakeFiles/nextpnr-ecp5.dir/chipdb-25k.bin.cc.o -c /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/ecp5/chipdb-25k.bin.cc cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/ecp5 && /gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/bin/c++ -DARCHNAME=ecp5 -DARCH_ECP5 -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DNO_RUST -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -Dnextpnr_ecp5_EXPORTS -I/gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/include/python3.11 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/ecp5 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/common -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/frontend/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/json/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/rust/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/json11/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/place/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/route/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/oourafft/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/ecp5 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui -isystem /gnu/store/r94kayv6y93mj1hgc13k11klha366dsw-eigen-3.4.0/include/eigen3 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtWidgets -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtGui -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtCore -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/lib/qt5/mkspecs/linux-g++ -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -fdiagnostics-color=always -fPIC -w -g0 -O0 -MD -MT ecp5/CMakeFiles/nextpnr-ecp5.dir/chipdb-85k.bin.cc.o -MF CMakeFiles/nextpnr-ecp5.dir/chipdb-85k.bin.cc.o.d -o CMakeFiles/nextpnr-ecp5.dir/chipdb-85k.bin.cc.o -c /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/ecp5/chipdb-85k.bin.cc cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/ecp5 && /gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/bin/c++ -DARCHNAME=ecp5 -DARCH_ECP5 -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DNO_RUST -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -Dnextpnr_ecp5_EXPORTS -I/gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/include/python3.11 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/ecp5 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/common -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/frontend/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/json/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/rust/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/json11/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/place/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/route/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/oourafft/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/ecp5 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui -isystem /gnu/store/r94kayv6y93mj1hgc13k11klha366dsw-eigen-3.4.0/include/eigen3 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtWidgets -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtGui -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtCore -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/lib/qt5/mkspecs/linux-g++ -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -fdiagnostics-color=always -fPIC -w -g0 -O0 -MD -MT ecp5/CMakeFiles/nextpnr-ecp5.dir/chipdb-45k.bin.cc.o -MF CMakeFiles/nextpnr-ecp5.dir/chipdb-45k.bin.cc.o.d -o CMakeFiles/nextpnr-ecp5.dir/chipdb-45k.bin.cc.o -c /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/ecp5/chipdb-45k.bin.cc cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/ecp5 && /gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/bin/c++ -DARCHNAME=ecp5 -DARCH_ECP5 -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DNO_RUST -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -Dnextpnr_ecp5_EXPORTS -I/gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/include/python3.11 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/ecp5 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/common -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/frontend/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/json/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/rust/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/json11/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/place/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/route/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/oourafft/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/ecp5 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui -isystem /gnu/store/r94kayv6y93mj1hgc13k11klha366dsw-eigen-3.4.0/include/eigen3 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtWidgets -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtGui -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtCore -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/lib/qt5/mkspecs/linux-g++ -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -fdiagnostics-color=always -fPIC -MD -MT ecp5/CMakeFiles/nextpnr-ecp5.dir/__/3rdparty/oourafft/fftsg2d.cc.o -MF CMakeFiles/nextpnr-ecp5.dir/__/3rdparty/oourafft/fftsg2d.cc.o.d -o CMakeFiles/nextpnr-ecp5.dir/__/3rdparty/oourafft/fftsg2d.cc.o -c /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/oourafft/fftsg2d.cc cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/ecp5 && /gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/bin/c++ -DARCHNAME=ecp5 -DARCH_ECP5 -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DNO_RUST -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -Dnextpnr_ecp5_EXPORTS -I/gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/include/python3.11 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/ecp5 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/common -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/frontend/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/json/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/rust/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/json11/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/place/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/route/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/oourafft/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/ecp5 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui -isystem /gnu/store/r94kayv6y93mj1hgc13k11klha366dsw-eigen-3.4.0/include/eigen3 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtWidgets -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtGui -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtCore -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/lib/qt5/mkspecs/linux-g++ -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -fdiagnostics-color=always -fPIC -MD -MT ecp5/CMakeFiles/nextpnr-ecp5.dir/__/3rdparty/oourafft/fftsg.cc.o -MF CMakeFiles/nextpnr-ecp5.dir/__/3rdparty/oourafft/fftsg.cc.o.d -o CMakeFiles/nextpnr-ecp5.dir/__/3rdparty/oourafft/fftsg.cc.o -c /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/oourafft/fftsg.cc [ 72%] Building CXX object ecp5/CMakeFiles/nextpnr-ecp5.dir/__/common/place/timing_opt.cc.o [ 72%] Building CXX object ecp5/CMakeFiles/nextpnr-ecp5.dir/__/common/route/router2.cc.o [ 72%] Building CXX object ecp5/CMakeFiles/nextpnr-ecp5.dir/__/common/place/placer_static.cc.o cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/ecp5 && /gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/bin/c++ -DARCHNAME=ecp5 -DARCH_ECP5 -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DNO_RUST -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -Dnextpnr_ecp5_EXPORTS -I/gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/include/python3.11 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/ecp5 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/common -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/frontend/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/json/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/rust/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/json11/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/place/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/route/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/oourafft/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/ecp5 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui -isystem /gnu/store/r94kayv6y93mj1hgc13k11klha366dsw-eigen-3.4.0/include/eigen3 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtWidgets -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtGui -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtCore -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/lib/qt5/mkspecs/linux-g++ -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -fdiagnostics-color=always -fPIC -MD -MT ecp5/CMakeFiles/nextpnr-ecp5.dir/__/common/route/router2.cc.o -MF CMakeFiles/nextpnr-ecp5.dir/__/common/route/router2.cc.o.d -o CMakeFiles/nextpnr-ecp5.dir/__/common/route/router2.cc.o -c /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/route/router2.cc [ 72%] Building CXX object ecp5/CMakeFiles/nextpnr-ecp5.dir/__/common/route/router1.cc.o cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/ecp5 && /gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/bin/c++ -DARCHNAME=ecp5 -DARCH_ECP5 -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DNO_RUST -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -Dnextpnr_ecp5_EXPORTS -I/gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/include/python3.11 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/ecp5 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/common -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/frontend/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/json/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/rust/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/json11/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/place/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/route/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/oourafft/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/ecp5 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui -isystem /gnu/store/r94kayv6y93mj1hgc13k11klha366dsw-eigen-3.4.0/include/eigen3 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtWidgets -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtGui -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtCore -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/lib/qt5/mkspecs/linux-g++ -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -fdiagnostics-color=always -fPIC -MD -MT ecp5/CMakeFiles/nextpnr-ecp5.dir/__/common/place/timing_opt.cc.o -MF CMakeFiles/nextpnr-ecp5.dir/__/common/place/timing_opt.cc.o.d -o CMakeFiles/nextpnr-ecp5.dir/__/common/place/timing_opt.cc.o -c /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/place/timing_opt.cc cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/ecp5 && /gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/bin/c++ -DARCHNAME=ecp5 -DARCH_ECP5 -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DNO_RUST -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -Dnextpnr_ecp5_EXPORTS -I/gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/include/python3.11 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/ecp5 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/common -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/frontend/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/json/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/rust/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/json11/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/place/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/route/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/oourafft/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/ecp5 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui -isystem /gnu/store/r94kayv6y93mj1hgc13k11klha366dsw-eigen-3.4.0/include/eigen3 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtWidgets -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtGui -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtCore -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/lib/qt5/mkspecs/linux-g++ -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -fdiagnostics-color=always -fPIC -MD -MT ecp5/CMakeFiles/nextpnr-ecp5.dir/__/common/place/placer_static.cc.o -MF CMakeFiles/nextpnr-ecp5.dir/__/common/place/placer_static.cc.o.d -o CMakeFiles/nextpnr-ecp5.dir/__/common/place/placer_static.cc.o -c /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/place/placer_static.cc [ 73%] Building CXX object ecp5/CMakeFiles/nextpnr-ecp5.dir/__/common/place/placer_heap.cc.o cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/ecp5 && /gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/bin/c++ -DARCHNAME=ecp5 -DARCH_ECP5 -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DNO_RUST -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -Dnextpnr_ecp5_EXPORTS -I/gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/include/python3.11 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/ecp5 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/common -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/frontend/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/json/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/rust/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/json11/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/place/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/route/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/oourafft/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/ecp5 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui -isystem /gnu/store/r94kayv6y93mj1hgc13k11klha366dsw-eigen-3.4.0/include/eigen3 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtWidgets -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtGui -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtCore -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/lib/qt5/mkspecs/linux-g++ -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -fdiagnostics-color=always -fPIC -MD -MT ecp5/CMakeFiles/nextpnr-ecp5.dir/__/common/route/router1.cc.o -MF CMakeFiles/nextpnr-ecp5.dir/__/common/route/router1.cc.o.d -o CMakeFiles/nextpnr-ecp5.dir/__/common/route/router1.cc.o -c /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/route/router1.cc cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/ecp5 && /gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/bin/c++ -DARCHNAME=ecp5 -DARCH_ECP5 -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DNO_RUST -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -Dnextpnr_ecp5_EXPORTS -I/gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/include/python3.11 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/ecp5 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/common -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/frontend/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/json/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/rust/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/json11/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/place/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/route/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/oourafft/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/ecp5 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui -isystem /gnu/store/r94kayv6y93mj1hgc13k11klha366dsw-eigen-3.4.0/include/eigen3 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtWidgets -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtGui -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtCore -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/lib/qt5/mkspecs/linux-g++ -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -fdiagnostics-color=always -fPIC -MD -MT ecp5/CMakeFiles/nextpnr-ecp5.dir/__/common/place/placer_heap.cc.o -MF CMakeFiles/nextpnr-ecp5.dir/__/common/place/placer_heap.cc.o.d -o CMakeFiles/nextpnr-ecp5.dir/__/common/place/placer_heap.cc.o -c /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/place/placer_heap.cc [ 73%] Building CXX object ecp5/CMakeFiles/nextpnr-ecp5.dir/__/common/place/placer1.cc.o cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/ecp5 && /gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/bin/c++ -DARCHNAME=ecp5 -DARCH_ECP5 -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DNO_RUST -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -Dnextpnr_ecp5_EXPORTS -I/gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/include/python3.11 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/ecp5 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/common -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/frontend/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/json/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/rust/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/json11/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/place/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/route/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/oourafft/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/ecp5 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui -isystem /gnu/store/r94kayv6y93mj1hgc13k11klha366dsw-eigen-3.4.0/include/eigen3 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtWidgets -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtGui -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtCore -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/lib/qt5/mkspecs/linux-g++ -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -fdiagnostics-color=always -fPIC -MD -MT ecp5/CMakeFiles/nextpnr-ecp5.dir/__/common/place/placer1.cc.o -MF CMakeFiles/nextpnr-ecp5.dir/__/common/place/placer1.cc.o.d -o CMakeFiles/nextpnr-ecp5.dir/__/common/place/placer1.cc.o -c /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/place/placer1.cc .o" "gui/CMakeFiles/nextpnr-ice40-gui.dir/designwidget.cc.o" "gui/CMakeFiles/nextpnr-ice40-gui.dir/fpgaviewwidget.cc.o" "gui/CMakeFiles/nextpnr-ice40-gui.dir/line_editor.cc.o" "gui/CMakeFiles/nextpnr-ice40-gui.dir/lineshader.cc.o" "gui/CMakeFiles/nextpnr-ice40-gui.dir/pyconsole.cc.o" "gui/CMakeFiles/nextpnr-ice40-gui.dir/pythontab.cc.o" "gui/CMakeFiles/nextpnr-ice40-gui.dir/treemodel.cc.o" "gui/CMakeFiles/nextpnr-ice40-gui.dir/worker.cc.o" "gui/CMakeFiles/nextpnr-ice40-gui.dir/ice40/mainwindow.cc.o" "gui/CMakeFiles/nextpnr-ice40-gui.dir/qrc_base.cpp.o" "gui/CMakeFiles/nextpnr-ice40-gui.dir/qrc_nextpnr.cpp.o" "gui/CMakeFiles/nextpnr-ice40-gui.dir/__/3rdparty/python-console/ColumnFormatter.cpp.o" "gui/CMakeFiles/nextpnr-ice40-gui.dir/__/3rdparty/python-console/ParseHelper.cpp.o" "gui/CMakeFiles/nextpnr-ice40-gui.dir/__/3rdparty/python-console/ParseHelper.BlockParseState.cpp.o" "gui/CMakeFiles/nextpnr-ice40-gui.dir/__/3rdparty/python-console/ParseHelper.BracketParseState.cpp.o" "gui/CMakeFiles/nextpnr-ice40-gui.dir/__/3rdparty/python-console/ParseHelper.ContinuationParseState.cpp.o" "gui/CMakeFiles/nextpnr-ice40-gui.dir/__/3rdparty/python-console/ParseMessage.cpp.o" "gui/CMakeFiles/nextpnr-ice40-gui.dir/__/3rdparty/python-console/modified/pyredirector.cc.o" "gui/CMakeFiles/nextpnr-ice40-gui.dir/__/3rdparty/python-console/modified/pyinterpreter.cc.o" -o ../nextpnr-ice40-test /gnu/store/8plnc3lpnfhyqyy4khcjzm7lhnvvnnw7-googletest-1.17.0/lib/libgtest_main.so.1.17.0 /gnu/store/8plnc3lpnfhyqyy4khcjzm7lhnvvnnw7-googletest-1.17.0/lib/libgtest.so.1.17.0 ../3rdparty/json11/libjson11.a /gnu/store/4vxzslxx9fcvhcq0cqjr168shg8s1kwa-boost-1.83.0/lib/libboost_filesystem.so.1.83.0 /gnu/store/4vxzslxx9fcvhcq0cqjr168shg8s1kwa-boost-1.83.0/lib/libboost_atomic.so.1.83.0 /gnu/store/4vxzslxx9fcvhcq0cqjr168shg8s1kwa-boost-1.83.0/lib/libboost_program_options.so.1.83.0 /gnu/store/4vxzslxx9fcvhcq0cqjr168shg8s1kwa-boost-1.83.0/lib/libboost_iostreams.so.1.83.0 /gnu/store/4vxzslxx9fcvhcq0cqjr168shg8s1kwa-boost-1.83.0/lib/libboost_system.so.1.83.0 /gnu/store/4vxzslxx9fcvhcq0cqjr168shg8s1kwa-boost-1.83.0/lib/libboost_thread.so.1.83.0 /gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/lib/libpython3.11.so /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/lib/libQt5OpenGL.so.5.15.17 ../3rdparty/QtPropertyBrowser/src/libQtPropertyBrowser.a /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/lib/libQt5Widgets.so.5.15.17 /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/lib/libQt5Gui.so.5.15.17 /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/lib/libQt5Core.so.5.15.17 -limgui /gnu/store/ny8visn9x0y1r3bfylrirfbjprg3nh15-qtimgui-0.0-0.48d64a7/lib/libqt_imgui_widgets.so make[2]: Leaving directory '/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build' [ 73%] Built target nextpnr-ice40-test Generate nodes for TILE[84x4]... Generate nodes for TILE[84x8]... Generate nodes for TILE[85x12]... Generate nodes for TILE[85x14]... Generate nodes for TILE[85x16]... Generate nodes for TILE[85x20]... Generate nodes for TILE[85x24]... Generate nodes for TILE[85x26]... Generate nodes for TILE[85x28]... Generate nodes for TILE[85x2]... Generate nodes for TILE[85x32]... Generate nodes for TILE[85x36]... Generate nodes for TILE[85x38]... Generate nodes for TILE[85x40]... Generate nodes for TILE[85x44]... Generate nodes for TILE[85x48]... Generate nodes for TILE[85x4]... Generate nodes for TILE[85x8]... Generate nodes for TILE[86x12]... Generate nodes for TILE[86x14]... Generate nodes for TILE[86x16]... Generate nodes for TILE[86x20]... Generate nodes for TILE[86x24]... Generate nodes for TILE[86x26]... Generate nodes for TILE[86x28]... Generate nodes for TILE[86x2]... Generate nodes for TILE[86x32]... Generate nodes for TILE[86x36]... Generate nodes for TILE[86x38]... Generate nodes for TILE[86x40]... Generate nodes for TILE[86x44]... Generate nodes for TILE[86x48]... Generate nodes for TILE[86x4]... Generate nodes for TILE[86x8]... Generate nodes for TILE[87x12]... Generate nodes for TILE[87x14]... Generate nodes for TILE[87x16]... Generate nodes for TILE[87x20]... Generate nodes for TILE[87x24]... Generate nodes for TILE[87x26]... Generate nodes for TILE[87x28]... Generate nodes for TILE[87x2]... Generate nodes for TILE[87x32]... Generate nodes for TILE[87x36]... Generate nodes for TILE[87x38]... Generate nodes for TILE[87x40]... Generate nodes for TILE[87x44]... Generate nodes for TILE[87x48]... Generate nodes for TILE[87x4]... Generate nodes for TILE[87x8]... Generate nodes for TILE[88x12]... Generate nodes for TILE[88x14]... Generate nodes for TILE[88x16]... Generate nodes for TILE[88x20]... Generate nodes for TILE[88x24]... Generate nodes for TILE[88x26]... Generate nodes for TILE[88x28]... Generate nodes for TILE[88x2]... Generate nodes for TILE[88x32]... Generate nodes for TILE[88x36]... Generate nodes for TILE[88x38]... Generate nodes for TILE[88x40]... Generate nodes for TILE[88x44]... Generate nodes for TILE[88x48]... Generate nodes for TILE[88x4]... Generate nodes for TILE[88x8]... Generate nodes for TILE[89x12]... Generate nodes for TILE[89x14]... Generate nodes for TILE[89x16]... Generate nodes for TILE[89x20]... Generate nodes for TILE[89x24]... Generate nodes for TILE[89x26]... Generate nodes for TILE[89x28]... Generate nodes for TILE[89x2]... Generate nodes for TILE[89x32]... Generate nodes for TILE[89x36]... Generate nodes for TILE[89x38]... Generate nodes for TILE[89x40]... Generate nodes for TILE[89x44]... Generate nodes for TILE[89x48]... Generate nodes for TILE[89x4]... Generate nodes for TILE[89x8]... Generate nodes for TILE[8x12]... Generate nodes for TILE[8x14]... Generate nodes for TILE[8x16]... Generate nodes for TILE[8x20]... Generate nodes for TILE[8x24]... Generate nodes for TILE[8x26]... Generate nodes for TILE[8x28]... Generate nodes for TILE[8x2]... Generate nodes for TILE[8x32]... Generate nodes for TILE[8x36]... Generate nodes for TILE[8x38]... Generate nodes for TILE[8x40]... Generate nodes for TILE[8x44]... Generate nodes for TILE[8x48]... Generate nodes for TILE[8x4]... Generate nodes for TILE[8x8]... Generate nodes for TILE[90x12]... Generate nodes for TILE[90x14]... Generate nodes for TILE[90x16]... Generate nodes for TILE[90x20]... Generate nodes for TILE[90x24]... Generate nodes for TILE[90x26]... Generate nodes for TILE[90x28]... Generate nodes for TILE[90x2]... Generate nodes for TILE[90x32]... Generate nodes for TILE[90x36]... Generate nodes for TILE[90x38]... Generate nodes for TILE[90x40]... Generate nodes for TILE[90x44]... Generate nodes for TILE[90x48]... Generate nodes for TILE[90x4]... Generate nodes for TILE[90x8]... Generate nodes for TILE[91x12]... Generate nodes for TILE[91x14]... Generate nodes for TILE[91x16]... Generate nodes for TILE[91x20]... Generate nodes for TILE[91x24]... Generate nodes for TILE[91x2]... Generate nodes for TILE[91x38]... Generate nodes for TILE[91x40]... Generate nodes for TILE[91x44]... Generate nodes for TILE[91x48]... Generate nodes for TILE[91x4]... Generate nodes for TILE[91x8]... Generate nodes for TILE[92x12]... Generate nodes for TILE[92x14]... Generate nodes for TILE[92x16]... Generate nodes for TILE[92x20]... Generate nodes for TILE[92x24]... Generate nodes for TILE[92x2]... Generate nodes for TILE[92x38]... Generate nodes for TILE[92x40]... Generate nodes for TILE[92x44]... Generate nodes for TILE[92x48]... Generate nodes for TILE[92x4]... Generate nodes for TILE[92x8]... Generate nodes for TILE[9x12]... Generate nodes for TILE[9x14]... Generate nodes for TILE[9x16]... Generate nodes for TILE[9x20]... Generate nodes for TILE[9x24]... Generate nodes for TILE[9x26]... Generate nodes for TILE[9x28]... Generate nodes for TILE[9x2]... Generate nodes for TILE[9x32]... Generate nodes for TILE[9x36]... Generate nodes for TILE[9x38]... Generate nodes for TILE[9x40]... Generate nodes for TILE[9x44]... Generate nodes for TILE[9x48]... Generate nodes for TILE[9x4]... Generate nodes for TILE[9x8]... Generate nodes for TUBE... Deduplicating tile shapes... 5830 unique tile routing shapes cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/himbaechel/uarch/ng-ultra && /gnu/store/d5c8i3b549r412lkhddggyfx9mqdphs7-cmake-minimal-3.31.10/bin/cmake -E rename /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/himbaechel/uarch/ng-ultra/chipdb-ng-ultra.bba.new /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/himbaechel/uarch/ng-ultra/chipdb-ng-ultra.bba cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/himbaechel/uarch/ng-ultra && /gnu/store/d5c8i3b549r412lkhddggyfx9mqdphs7-cmake-minimal-3.31.10/bin/cmake -E copy /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/himbaechel/uarch/ng-ultra/chipdb-ng-ultra.bba /gnu/store/davzxg5m27gz2djfkghkz3pb7ys8gvgi-nextpnr-ice40-0.9-0.ad76625-bba/share/nextpnr/bba-files/himbaechel/uarch/ng-ultra/chipdb-ng-ultra.bba [ 73%] Generating chipdb-ng-ultra.bin cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/himbaechel/uarch/ng-ultra && ../../../bba/bbasm --le /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/himbaechel/uarch/ng-ultra/chipdb-ng-ultra.bba /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/himbaechel/uarch/ng-ultra/chipdb-ng-ultra.bin [ 73%] Linking CXX executable ../nextpnr-ecp5-test cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/ecp5 && /gnu/store/d5c8i3b549r412lkhddggyfx9mqdphs7-cmake-minimal-3.31.10/bin/cmake -E cmake_link_script CMakeFiles/nextpnr-ecp5-test.dir/link.txt --verbose=1 /gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/bin/c++ -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -Wl,--export-dynamic -rdynamic -Wl,--dependency-file=CMakeFiles/nextpnr-ecp5-test.dir/link.d "CMakeFiles/nextpnr-ecp5-test.dir/arch.cc.o" "CMakeFiles/nextpnr-ecp5-test.dir/arch_place.cc.o" "CMakeFiles/nextpnr-ecp5-test.dir/arch_pybindings.cc.o" "CMakeFiles/nextpnr-ecp5-test.dir/baseconfigs.cc.o" "CMakeFiles/nextpnr-ecp5-test.dir/bitstream.cc.o" "CMakeFiles/nextpnr-ecp5-test.dir/cells.cc.o" "CMakeFiles/nextpnr-ecp5-test.dir/config.cc.o" "CMakeFiles/nextpnr-ecp5-test.dir/gfx.cc.o" "CMakeFiles/nextpnr-ecp5-test.dir/globals.cc.o" "CMakeFiles/nextpnr-ecp5-test.dir/lpf.cc.o" "CMakeFiles/nextpnr-ecp5-test.dir/pack.cc.o" "CMakeFiles/nextpnr-ecp5-test.dir/pio.cc.o" "CMakeFiles/nextpnr-ecp5-test.dir/__/common/kernel/archcheck.cc.o" "CMakeFiles/nextpnr-ecp5-test.dir/__/common/kernel/basectx.cc.o" "CMakeFiles/nextpnr-ecp5-test.dir/__/common/kernel/bits.cc.o" "CMakeFiles/nextpnr-ecp5-test.dir/__/common/kernel/command.cc.o" "CMakeFiles/nextpnr-ecp5-test.dir/__/common/kernel/context.cc.o" "CMakeFiles/nextpnr-ecp5-test.dir/__/common/kernel/design_utils.cc.o" "CMakeFiles/nextpnr-ecp5-test.dir/__/common/kernel/embed.cc.o" "CMakeFiles/nextpnr-ecp5-test.dir/__/common/kernel/handle_error.cc.o" "CMakeFiles/nextpnr-ecp5-test.dir/__/common/kernel/idstring.cc.o" "CMakeFiles/nextpnr-ecp5-test.dir/__/common/kernel/idstringlist.cc.o" "CMakeFiles/nextpnr-ecp5-test.dir/__/common/kernel/log.cc.o" "CMakeFiles/nextpnr-ecp5-test.dir/__/common/kernel/nextpnr_assertions.cc.o" "CMakeFiles/nextpnr-ecp5-test.dir/__/common/kernel/nextpnr.cc.o" "CMakeFiles/nextpnr-ecp5-test.dir/__/common/kernel/nextpnr_namespaces.cc.o" "CMakeFiles/nextpnr-ecp5-test.dir/__/common/kernel/nextpnr_types.cc.o" "CMakeFiles/nextpnr-ecp5-test.dir/__/common/kernel/property.cc.o" "CMakeFiles/nextpnr-ecp5-test.dir/__/common/kernel/pybindings.cc.o" "CMakeFiles/nextpnr-ecp5-test.dir/__/common/kernel/report.cc.o" "CMakeFiles/nextpnr-ecp5-test.dir/__/common/kernel/sdc.cc.o" "CMakeFiles/nextpnr-ecp5-test.dir/__/common/kernel/sdf.cc.o" "CMakeFiles/nextpnr-ecp5-test.dir/__/common/kernel/str_ring_buffer.cc.o" "CMakeFiles/nextpnr-ecp5-test.dir/__/common/kernel/svg.cc.o" "CMakeFiles/nextpnr-ecp5-test.dir/__/common/kernel/timing.cc.o" "CMakeFiles/nextpnr-ecp5-test.dir/__/common/kernel/timing_log.cc.o" "CMakeFiles/nextpnr-ecp5-test.dir/__/frontend/json_frontend.cc.o" "CMakeFiles/nextpnr-ecp5-test.dir/__/json/jsonwrite.cc.o" "CMakeFiles/nextpnr-ecp5-test.dir/__/common/place/detail_place_core.cc.o" "CMakeFiles/nextpnr-ecp5-test.dir/__/common/place/parallel_refine.cc.o" "CMakeFiles/nextpnr-ecp5-test.dir/__/common/place/place_common.cc.o" "CMakeFiles/nextpnr-ecp5-test.dir/__/common/place/placer1.cc.o" "CMakeFiles/nextpnr-ecp5-test.dir/__/common/place/placer_heap.cc.o" "CMakeFiles/nextpnr-ecp5-test.dir/__/common/place/placer_static.cc.o" "CMakeFiles/nextpnr-ecp5-test.dir/__/common/place/timing_opt.cc.o" "CMakeFiles/nextpnr-ecp5-test.dir/__/common/route/router1.cc.o" "CMakeFiles/nextpnr-ecp5-test.dir/__/common/route/router2.cc.o" "CMakeFiles/nextpnr-ecp5-test.dir/__/3rdparty/oourafft/fftsg.cc.o" "CMakeFiles/nextpnr-ecp5-test.dir/__/3rdparty/oourafft/fftsg2d.cc.o" "CMakeFiles/nextpnr-ecp5-test.dir/chipdb-25k.bin.cc.o" "CMakeFiles/nextpnr-ecp5-test.dir/chipdb-45k.bin.cc.o" "CMakeFiles/nextpnr-ecp5-test.dir/chipdb-85k.bin.cc.o" "CMakeFiles/nextpnr-ecp5-test.dir/__/tests/gui/quadtree.cc.o" "gui/CMakeFiles/nextpnr-ecp5-gui.dir/nextpnr-ecp5-gui_autogen/mocs_compilation.cpp.o" "gui/CMakeFiles/nextpnr-ecp5-gui.dir/application.cc.o" "gui/CMakeFiles/nextpnr-ecp5-gui.dir/basewindow.cc.o" "gui/CMakeFiles/nextpnr-ecp5-gui.dir/designwidget.cc.o" "gui/CMakeFiles/nextpnr-ecp5-gui.dir/fpgaviewwidget.cc.o" "gui/CMakeFiles/nextpnr-ecp5-gui.dir/line_editor.cc.o" "gui/CMakeFiles/nextpnr-ecp5-gui.dir/lineshader.cc.o" "gui/CMakeFiles/nextpnr-ecp5-gui.dir/pyconsole.cc.o" "gui/CMakeFiles/nextpnr-ecp5-gui.dir/pythontab.cc.o" "gui/CMakeFiles/nextpnr-ecp5-gui.dir/treemodel.cc.o" "gui/CMakeFiles/nextpnr-ecp5-gui.dir/worker.cc[ 73%] Linking CXX executable ../nextpnr-ecp5 cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/ecp5 && /gnu/store/d5c8i3b549r412lkhddggyfx9mqdphs7-cmake-minimal-3.31.10/bin/cmake -E cmake_link_script CMakeFiles/nextpnr-ecp5.dir/link.txt --verbose=1 /gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/bin/c++ -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -Wl,--export-dynamic -rdynamic -Wl,--dependency-file=CMakeFiles/nextpnr-ecp5.dir/link.d "CMakeFiles/nextpnr-ecp5.dir/main.cc.o" "CMakeFiles/nextpnr-ecp5.dir/arch.cc.o" "CMakeFiles/nextpnr-ecp5.dir/arch_place.cc.o" "CMakeFiles/nextpnr-ecp5.dir/arch_pybindings.cc.o" "CMakeFiles/nextpnr-ecp5.dir/baseconfigs.cc.o" "CMakeFiles/nextpnr-ecp5.dir/bitstream.cc.o" "CMakeFiles/nextpnr-ecp5.dir/cells.cc.o" "CMakeFiles/nextpnr-ecp5.dir/config.cc.o" "CMakeFiles/nextpnr-ecp5.dir/gfx.cc.o" "CMakeFiles/nextpnr-ecp5.dir/globals.cc.o" "CMakeFiles/nextpnr-ecp5.dir/lpf.cc.o" "CMakeFiles/nextpnr-ecp5.dir/pack.cc.o" "CMakeFiles/nextpnr-ecp5.dir/pio.cc.o" "CMakeFiles/nextpnr-ecp5.dir/__/common/kernel/archcheck.cc.o" "CMakeFiles/nextpnr-ecp5.dir/__/common/kernel/basectx.cc.o" "CMakeFiles/nextpnr-ecp5.dir/__/common/kernel/bits.cc.o" "CMakeFiles/nextpnr-ecp5.dir/__/common/kernel/command.cc.o" "CMakeFiles/nextpnr-ecp5.dir/__/common/kernel/context.cc.o" "CMakeFiles/nextpnr-ecp5.dir/__/common/kernel/design_utils.cc.o" "CMakeFiles/nextpnr-ecp5.dir/__/common/kernel/embed.cc.o" "CMakeFiles/nextpnr-ecp5.dir/__/common/kernel/handle_error.cc.o" "CMakeFiles/nextpnr-ecp5.dir/__/common/kernel/idstring.cc.o" "CMakeFiles/nextpnr-ecp5.dir/__/common/kernel/idstringlist.cc.o" "CMakeFiles/nextpnr-ecp5.dir/__/common/kernel/log.cc.o" "CMakeFiles/nextpnr-ecp5.dir/__/common/kernel/nextpnr_assertions.cc.o" "CMakeFiles/nextpnr-ecp5.dir/__/common/kernel/nextpnr.cc.o" "CMakeFiles/nextpnr-ecp5.dir/__/common/kernel/nextpnr_namespaces.cc.o" "CMakeFiles/nextpnr-ecp5.dir/__/common/kernel/nextpnr_types.cc.o" "CMakeFiles/nextpnr-ecp5.dir/__/common/kernel/property.cc.o" "CMakeFiles/nextpnr-ecp5.dir/__/common/kernel/pybindings.cc.o" "CMakeFiles/nextpnr-ecp5.dir/__/common/kernel/report.cc.o" "CMakeFiles/nextpnr-ecp5.dir/__/common/kernel/sdc.cc.o" "CMakeFiles/nextpnr-ecp5.dir/__/common/kernel/sdf.cc.o" "CMakeFiles/nextpnr-ecp5.dir/__/common/kernel/str_ring_buffer.cc.o" "CMakeFiles/nextpnr-ecp5.dir/__/common/kernel/svg.cc.o" "CMakeFiles/nextpnr-ecp5.dir/__/common/kernel/timing.cc.o" "CMakeFiles/nextpnr-ecp5.dir/__/common/kernel/timing_log.cc.o" "CMakeFiles/nextpnr-ecp5.dir/__/frontend/json_frontend.cc.o" "CMakeFiles/nextpnr-ecp5.dir/__/json/jsonwrite.cc.o" "CMakeFiles/nextpnr-ecp5.dir/__/common/place/detail_place_core.cc.o" "CMakeFiles/nextpnr-ecp5.dir/__/common/place/parallel_refine.cc.o" "CMakeFiles/nextpnr-ecp5.dir/__/common/place/place_common.cc.o" "CMakeFiles/nextpnr-ecp5.dir/__/common/place/placer1.cc.o" "CMakeFiles/nextpnr-ecp5.dir/__/common/place/placer_heap.cc.o" "CMakeFiles/nextpnr-ecp5.dir/__/common/place/placer_static.cc.o" "CMakeFiles/nextpnr-ecp5.dir/__/common/place/timing_opt.cc.o" "CMakeFiles/nextpnr-ecp5.dir/__/common/route/router1.cc.o" "CMakeFiles/nextpnr-ecp5.dir/__/common/route/router2.cc.o" "CMakeFiles/nextpnr-ecp5.dir/__/3rdparty/oourafft/fftsg.cc.o" "CMakeFiles/nextpnr-ecp5.dir/__/3rdparty/oourafft/fftsg2d.cc.o" "CMakeFiles/nextpnr-ecp5.dir/chipdb-25k.bin.cc.o" "CMakeFiles/nextpnr-ecp5.dir/chipdb-45k.bin.cc.o" "CMakeFiles/nextpnr-ecp5.dir/chipdb-85k.bin.cc.o" "gui/CMakeFiles/nextpnr-ecp5-gui.dir/nextpnr-ecp5-gui_autogen/mocs_compilation.cpp.o" "gui/CMakeFiles/nextpnr-ecp5-gui.dir/application.cc.o" "gui/CMakeFiles/nextpnr-ecp5-gui.dir/basewindow.cc.o" "gui/CMakeFiles/nextpnr-ecp5-gui.dir/designwidget.cc.o" "gui/CMakeFiles/nextpnr-ecp5-gui.dir/fpgaviewwidget.cc.o" "gui/CMakeFiles/nextpnr-ecp5-gui.dir/line_editor.cc.o" "gui/CMakeFiles/nextpnr-ecp5-gui.dir/lineshader.cc.o" "gui/CMakeFiles/nextpnr-ecp5-gui.dir/pyconsole.cc.o" "gui/CMakeFiles/nextpnr-ecp5-gui.dir/pythontab.cc.o" "gui/CMakeFiles/nextpnr-ecp5-gui.dir/treemodel.cc.o" "gui/CMakeFiles/nextpnr-ecp5-gui.dir/worker.cc.o" "gui/CMakeFiles/nextpnr-ecp5-gui.dir/ecp5/mainwindow.cc.o" "gui/CMakeFiles/nextpnr-ecp5-gui.dir/qrc_base.cpp.o" "gui/CMakeFiles/nextpnr-ecp5-gui.dir/qrc_nextpnr.cpp.o" "gui/CMakeFiles/nextpnr-ecp5-gui.dir/__/3rdparty/python-console/ColumnFormatter.cpp.o" "gui/CMakeFiles/nextpnr-ecp5cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/himbaechel/uarch/ng-ultra && /gnu/store/d5c8i3b549r412lkhddggyfx9mqdphs7-cmake-minimal-3.31.10/bin/cmake -E make_directory /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/share/himbaechel/ng-ultra cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/himbaechel/uarch/ng-ultra && /gnu/store/d5c8i3b549r412lkhddggyfx9mqdphs7-cmake-minimal-3.31.10/bin/cmake -E copy /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/himbaechel/uarch/ng-ultra/chipdb-ng-ultra.bin /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/share/himbaechel/ng-ultra/chipdb-ng-ultra.bin make[2]: Leaving directory '/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build' [ 73%] Built target nextpnr-himbaechel-ng-ultra-chipdb /gnu/store/mx9i9dnjx34lkx8k8r79876q2f5dclvr-make-4.4.1/bin/make -f himbaechel/uarch/ng-ultra/CMakeFiles/nextpnr-himbaechel-ng-ultra.dir/build.make himbaechel/uarch/ng-ultra/CMakeFiles/nextpnr-himbaechel-ng-ultra.dir/depend /gnu/store/mx9i9dnjx34lkx8k8r79876q2f5dclvr-make-4.4.1/bin/make -f himbaechel/uarch/ng-ultra/CMakeFiles/nextpnr-himbaechel-ng-ultra-test.dir/build.make himbaechel/uarch/ng-ultra/CMakeFiles/nextpnr-himbaechel-ng-ultra-test.dir/depend make[2]: Entering directory '/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build' cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build && /gnu/store/d5c8i3b549r412lkhddggyfx9mqdphs7-cmake-minimal-3.31.10/bin/cmake -E cmake_depends "Unix Makefiles" /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/himbaechel/uarch/ng-ultra /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/himbaechel/uarch/ng-ultra /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/himbaechel/uarch/ng-ultra/CMakeFiles/nextpnr-himbaechel-ng-ultra.dir/DependInfo.cmake "--color=" make[2]: Entering directory '/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build' cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build && /gnu/store/d5c8i3b549r412lkhddggyfx9mqdphs7-cmake-minimal-3.31.10/bin/cmake -E cmake_depends "Unix Makefiles" /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/himbaechel/uarch/ng-ultra /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/himbaechel/uarch/ng-ultra /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/himbaechel/uarch/ng-ultra/CMakeFiles/nextpnr-himbaechel-ng-ultra-test.dir/DependInfo.cmake "--color=" make[2]: Leaving directory '/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build' /gnu/store/mx9i9dnjx34lkx8k8r79876q2f5dclvr-make-4.4.1/bin/make -f himbaechel/uarch/ng-ultra/CMakeFiles/nextpnr-himbaechel-ng-ultra.dir/build.make himbaechel/uarch/ng-ultra/CMakeFiles/nextpnr-himbaechel-ng-ultra.dir/build make[2]: Leaving directory '/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build' /gnu/store/mx9i9dnjx34lkx8k8r79876q2f5dclvr-make-4.4.1/bin/make -f himbaechel/uarch/ng-ultra/CMakeFiles/nextpnr-himbaechel-ng-ultra-test.dir/build.make himbaechel/uarch/ng-ultra/CMakeFiles/nextpnr-himbaechel-ng-ultra-test.dir/build make[2]: Entering directory '/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build' make[2]: Entering directory '/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build' [ 73%] Building CXX object himbaechel/uarch/ng-ultra/CMakeFiles/nextpnr-himbaechel-ng-ultra-test.dir/tests/main.cc.o [ 73%] Building CXX object himbaechel/uarch/ng-ultra/CMakeFiles/nextpnr-himbaechel-ng-ultra.dir/__/__/arch.cc.o [ 73%] Building CXX object himbaechel/uarch/ng-ultra/CMakeFiles/nextpnr-himbaechel-ng-ultra.dir/__/__/arch_pybindings.cc.o [ 74%] Building CXX object himbaechel/uarch/ng-ultra/CMakeFiles/nextpnr-himbaechel-ng-ultra.dir/__/__/himbaechel_api.cc.o [ 74%] Building CXX object himbaechel/uarch/ng-ultra/CMakeFiles/nextpnr-himbaechel-ng-ultra.dir/__/__/main.cc.o cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/himbaechel/uarch/ng-ultra && /gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/bin/c++ -DARCHNAME=himbaechel -DARCH_HIMBAECHEL -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DGTEST_LINKED_AS_SHARED_LIBRARY=1 -DNEXTPNR_NAMESPACE=nextpnr_himbaechel -DNO_RUST -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -Dnextpnr_himbaechel_ng_ultra_test_EXPORTS -I/gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/include/python3.11 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/himbaechel/uarch/ng-ultra/gtest_include_dir -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/himbaechel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/common -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/frontend/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/json/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/rust/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/json11/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/place/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/route/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/oourafft/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/himbaechel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui -isystem /gnu/store/r94kayv6y93mj1hgc13k11klha366dsw-eigen-3.4.0/include/eigen3 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtWidgets -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtGui -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtCore -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/lib/qt5/mkspecs/linux-g++ -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -fdiagnostics-color=always -fPIC -MD -MT himbaechel/uarch/ng-ultra/CMakeFiles/nextpnr-himbaechel-ng-ultra-test.dir/tests/main.cc.o -MF CMakeFiles/nextpnr-himbaechel-ng-ultra-test.dir/tests/main.cc.o.d -o CMakeFiles/nextpnr-himbaechel-ng-ultra-test.dir/tests/main.cc.o -c /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/himbaechel/uarch/ng-ultra/tests/main.cc cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/himbaechel/uarch/ng-ultra && /gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/bin/c++ -DARCHNAME=himbaechel -DARCH_HIMBAECHEL -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DNEXTPNR_NAMESPACE=nextpnr_himbaechel -DNO_RUST -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -Dnextpnr_himbaechel_ng_ultra_EXPORTS -I/gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/include/python3.11 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/himbaechel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/common -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/frontend/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/json/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/rust/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/json11/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/place/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/route/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/oourafft/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/himbaechel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui -isystem /gnu/store/r94kayv6y93mj1hgc13k11klha366dsw-eigen-3.4.0/include/eigen3 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtWidgets -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtGui -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtCore -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/lib/qt5/mkspecs/linux-g++ -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -fdiagnostics-color=always -fPIC -MD -MT himbaechel/uarch/ng-ultra/CMakeFiles/nextpnr-himbaechel-ng-ultra.dir/__/__/arch.cc.o -MF CMakeFiles/nextpnr-himbaechel-ng-ultra.dir/__/__/arch.cc.o.d -o CMakeFiles/nextpnr-himbaechel-ng-ultra.dir/__/__/arch.cc.o -c /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/himbaechel/arch.cc cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/himbaechel/uarch/ng-ultra && /gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/bin/c++ -DARCHNAME=himbaechel -DARCH_HIMBAECHEL -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DNEXTPNR_NAMESPACE=nextpnr_himbaechel -DNO_RUST -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -Dnextpnr_himbaechel_ng_ultra_EXPORTS -I/gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/include/python3.11 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/himbaechel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/common -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/frontend/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/json/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/rust/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/json11/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/place/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/route/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/oourafft/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/himbaechel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui -isystem /gnu/store/r94kayv6y93mj1hgc13k11klha366dsw-eigen-3.4.0/include/eigen3 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtWidgets -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtGui -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtCore -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/lib/qt5/mkspecs/linux-g++ -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -fdiagnostics-color=always -fPIC -MD -MT himbaechel/uarch/ng-ultra/CMakeFiles/nextpnr-himbaechel-ng-ultra.dir/__/__/arch_pybindings.cc.o -MF CMakeFiles/nextpnr-himbaechel-ng-ultra.dir/__/__/arch_pybindings.cc.o.d -o CMakeFiles/nextpnr-himbaechel-ng-ultra.dir/__/__/arch_pybindings.cc.o -c /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/himbaechel/arch_pybindings.cc [ 74%] Building CXX object himbaechel/uarch/ng-ultra/CMakeFiles/nextpnr-himbaechel-ng-ultra.dir/__/__/himbaechel_helpers.cc.o [ 74%] Building CXX object himbaechel/uarch/ng-ultra/CMakeFiles/nextpnr-himbaechel-ng-ultra-test.dir/tests/lut_dff.cc.o cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/himbaechel/uarch/ng-ultra && /gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/bin/c++ -DARCHNAME=himbaechel -DARCH_HIMBAECHEL -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DNEXTPNR_NAMESPACE=nextpnr_himbaechel -DNO_RUST -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -Dnextpnr_himbaechel_ng_ultra_EXPORTS -I/gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/include/python3.11 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/himbaechel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/common -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/frontend/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/json/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/rust/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/json11/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/place/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/route/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/oourafft/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/himbaechel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui -isystem /gnu/store/r94kayv6y93mj1hgc13k11klha366dsw-eigen-3.4.0/include/eigen3 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtWidgets -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtGui -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtCore -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/lib/qt5/mkspecs/linux-g++ -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -fdiagnostics-color=always -fPIC -MD -MT himbaechel/uarch/ng-ultra/CMakeFiles/nextpnr-himbaechel-ng-ultra.dir/__/__/himbaechel_api.cc.o -MF CMakeFiles/nextpnr-himbaechel-ng-ultra.dir/__/__/himbaechel_api.cc.o.d -o CMakeFiles/nextpnr-himbaechel-ng-ultra.dir/__/__/himbaechel_api.cc.o -c /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/himbaechel/himbaechel_api.cc cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/himbaechel/uarch/ng-ultra && /gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/bin/c++ -DARCHNAME=himbaechel -DARCH_HIMBAECHEL -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DNEXTPNR_NAMESPACE=nextpnr_himbaechel -DNO_RUST -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -Dnextpnr_himbaechel_ng_ultra_EXPORTS -I/gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/include/python3.11 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/himbaechel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/common -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/frontend/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/json/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/rust/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/json11/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/place/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/route/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/oourafft/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/himbaechel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui -isystem /gnu/store/r94kayv6y93mj1hgc13k11klha366dsw-eigen-3.4.0/include/eigen3 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtWidgets -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtGui -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtCore -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/lib/qt5/mkspecs/linux-g++ -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -fdiagnostics-color=always -fPIC -MD -MT himbaechel/uarch/ng-ultra/CMakeFiles/nextpnr-himbaechel-ng-ultra.dir/__/__/main.cc.o -MF CMakeFiles/nextpnr-himbaechel-ng-ultra.dir/__/__/main.cc.o.d -o CMakeFiles/nextpnr-himbaechel-ng-ultra.dir/__/__/main.cc.o -c /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/himbaechel/main.cc [ 74%] Building CXX object himbaechel/uarch/ng-ultra/CMakeFiles/nextpnr-himbaechel-ng-ultra-test.dir/__/__/arch.cc.o [ 74%] Building CXX object himbaechel/uarch/ng-ultra/CMakeFiles/nextpnr-himbaechel-ng-ultra.dir/cells.cc.o [ 74%] Building CXX object himbaechel/uarch/ng-ultra/CMakeFiles/nextpnr-himbaechel-ng-ultra.dir/csv.cc.o [ 74%] Building CXX object himbaechel/uarch/ng-ultra/CMakeFiles/nextpnr-himbaechel-ng-ultra-test.dir/__/__/arch_pybindings.cc.o cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/himbaechel/uarch/ng-ultra && /gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/bin/c++ -DARCHNAME=himbaechel -DARCH_HIMBAECHEL -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DGTEST_LINKED_AS_SHARED_LIBRARY=1 -DNEXTPNR_NAMESPACE=nextpnr_himbaechel -DNO_RUST -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -Dnextpnr_himbaechel_ng_ultra_test_EXPORTS -I/gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/include/python3.11 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/himbaechel/uarch/ng-ultra/gtest_include_dir -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/himbaechel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/common -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/frontend/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/json/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/rust/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/json11/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/place/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/route/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/oourafft/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/himbaechel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui -isystem /gnu/store/r94kayv6y93mj1hgc13k11klha366dsw-eigen-3.4.0/include/eigen3 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtWidgets -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtGui -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtCore -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/lib/qt5/mkspecs/linux-g++ -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -fdiagnostics-color=always -fPIC -MD -MT himbaechel/uarch/ng-ultra/CMakeFiles/nextpnr-himbaechel-ng-ultra-test.dir/tests/lut_dff.cc.o -MF CMakeFiles/nextpnr-himbaechel-ng-ultra-test.dir/tests/lut_dff.cc.o.d -o CMakeFiles/nextpnr-himbaechel-ng-ultra-test.dir/tests/lut_dff.cc.o -c /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/himbaechel/uarch/ng-ultra/tests/lut_dff.cc [ 74%] Building CXX object himbaechel/uarch/ng-ultra/CMakeFiles/nextpnr-himbaechel-ng-ultra-test.dir/bitstream.cc.o cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/himbaechel/uarch/ng-ultra && /gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/bin/c++ -DARCHNAME=himbaechel -DARCH_HIMBAECHEL -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DNEXTPNR_NAMESPACE=nextpnr_himbaechel -DNO_RUST -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -Dnextpnr_himbaechel_ng_ultra_EXPORTS -I/gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/include/python3.11 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/himbaechel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/common -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/frontend/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/json/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/rust/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/json11/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/place/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/route/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/oourafft/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/himbaechel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui -isystem /gnu/store/r94kayv6y93mj1hgc13k11klha366dsw-eigen-3.4.0/include/eigen3 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtWidgets -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtGui -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtCore -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/lib/qt5/mkspecs/linux-g++ -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -fdiagnostics-color=always -fPIC -MD -MT himbaechel/uarch/ng-ultra/CMakeFiles/nextpnr-himbaechel-ng-ultra.dir/__/__/himbaechel_helpers.cc.o -MF CMakeFiles/nextpnr-himbaechel-ng-ultra.dir/__/__/himbaechel_helpers.cc.o.d -o CMakeFiles/nextpnr-himbaechel-ng-ultra.dir/__/__/himbaechel_helpers.cc.o -c /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/himbaechel/himbaechel_helpers.cc [ 74%] Building CXX object himbaechel/uarch/ng-ultra/CMakeFiles/nextpnr-himbaechel-ng-ultra.dir/ng_ultra.cc.o [ 74%] Building CXX object himbaechel/uarch/ng-ultra/CMakeFiles/nextpnr-himbaechel-ng-ultra-test.dir/__/__/himbaechel_api.cc.o [ 75%] Building CXX object himbaechel/uarch/ng-ultra/CMakeFiles/nextpnr-himbaechel-ng-ultra-test.dir/__/__/himbaechel_helpers.cc.o [ 75%] Building CXX object himbaechel/uarch/ng-ultra/CMakeFiles/nextpnr-himbaechel-ng-ultra.dir/location_map.cc.o cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/himbaechel/uarch/ng-ultra && /gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/bin/c++ -DARCHNAME=himbaechel -DARCH_HIMBAECHEL -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DNEXTPNR_NAMESPACE=nextpnr_himbaechel -DNO_RUST -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -Dnextpnr_himbaechel_ng_ultra_EXPORTS -I/gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/include/python3.11 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/himbaechel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/common -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/frontend/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/json/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/rust/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/json11/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/place/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/route/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/oourafft/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/himbaechel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui -isystem /gnu/store/r94kayv6y93mj1hgc13k11klha366dsw-eigen-3.4.0/include/eigen3 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtWidgets -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtGui -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtCore -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/lib/qt5/mkspecs/linux-g++ -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -fdiagnostics-color=always -fPIC -MD -MT himbaechel/uarch/ng-ultra/CMakeFiles/nextpnr-himbaechel-ng-ultra.dir/csv.cc.o -MF CMakeFiles/nextpnr-himbaechel-ng-ultra.dir/csv.cc.o.d -o CMakeFiles/nextpnr-himbaechel-ng-ultra.dir/csv.cc.o -c /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/himbaechel/uarch/ng-ultra/csv.cc [ 75%] Building CXX object himbaechel/uarch/ng-ultra/CMakeFiles/nextpnr-himbaechel-ng-ultra.dir/pack.cc.o [ 75%] Building CXX object himbaechel/uarch/ng-ultra/CMakeFiles/nextpnr-himbaechel-ng-ultra-test.dir/cells.cc.o cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/himbaechel/uarch/ng-ultra && /gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/bin/c++ -DARCHNAME=himbaechel -DARCH_HIMBAECHEL -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DGTEST_LINKED_AS_SHARED_LIBRARY=1 -DNEXTPNR_NAMESPACE=nextpnr_himbaechel -DNO_RUST -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -Dnextpnr_himbaechel_ng_ultra_test_EXPORTS -I/gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/include/python3.11 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/himbaechel/uarch/ng-ultra/gtest_include_dir -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/himbaechel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/common -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/frontend/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/json/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/rust/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/json11/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/place/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/route/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/oourafft/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/himbaechel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui -isystem /gnu/store/r94kayv6y93mj1hgc13k11klha366dsw-eigen-3.4.0/include/eigen3 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtWidgets -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtGui -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtCore -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/lib/qt5/mkspecs/linux-g++ -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -fdiagnostics-color=always -fPIC -MD -MT himbaechel/uarch/ng-ultra/CMakeFiles/nextpnr-himbaechel-ng-ultra-test.dir/__/__/arch_pybindings.cc.o -MF CMakeFiles/nextpnr-himbaechel-ng-ultra-test.dir/__/__/arch_pybindings.cc.o.d -o CMakeFiles/nextpnr-himbaechel-ng-ultra-test.dir/__/__/arch_pybindings.cc.o -c /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/himbaechel/arch_pybindings.cc [ 75%] Building CXX object himbaechel/uarch/ng-ultra/CMakeFiles/nextpnr-himbaechel-ng-ultra.dir/__/__/__/common/kernel/archcheck.cc.o [ 75%] Building CXX object himbaechel/uarch/ng-ultra/CMakeFiles/nextpnr-himbaechel-ng-ultra-test.dir/csv.cc.o cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/himbaechel/uarch/ng-ultra && /gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/bin/c++ -DARCHNAME=himbaechel -DARCH_HIMBAECHEL -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DNEXTPNR_NAMESPACE=nextpnr_himbaechel -DNO_RUST -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -Dnextpnr_himbaechel_ng_ultra_EXPORTS -I/gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/include/python3.11 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/himbaechel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/common -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/frontend/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/json/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/rust/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/json11/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/place/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/route/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/oourafft/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/himbaechel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui -isystem /gnu/store/r94kayv6y93mj1hgc13k11klha366dsw-eigen-3.4.0/include/eigen3 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtWidgets -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtGui -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtCore -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/lib/qt5/mkspecs/linux-g++ -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -fdiagnostics-color=always -fPIC -MD -MT himbaechel/uarch/ng-ultra/CMakeFiles/nextpnr-himbaechel-ng-ultra.dir/cells.cc.o -MF CMakeFiles/nextpnr-himbaechel-ng-ultra.dir/cells.cc.o.d -o CMakeFiles/nextpnr-himbaechel-ng-ultra.dir/cells.cc.o -c /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/himbaechel/uarch/ng-ultra/cells.cc cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/himbaechel/uarch/ng-ultra && /gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/bin/c++ -DARCHNAME=himbaechel -DARCH_HIMBAECHEL -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DGTEST_LINKED_AS_SHARED_LIBRARY=1 -DNEXTPNR_NAMESPACE=nextpnr_himbaechel -DNO_RUST -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -Dnextpnr_himbaechel_ng_ultra_test_EXPORTS -I/gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/include/python3.11 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/himbaechel/uarch/ng-ultra/gtest_include_dir -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/himbaechel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/common -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/frontend/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/json/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/rust/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/json11/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/place/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/route/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/oourafft/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/himbaechel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui -isystem /gnu/store/r94kayv6y93mj1hgc13k11klha366dsw-eigen-3.4.0/include/eigen3 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtWidgets -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtGui -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtCore -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/lib/qt5/mkspecs/linux-g++ -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -fdiagnostics-color=always -fPIC -MD -MT himbaechel/uarch/ng-ultra/CMakeFiles/nextpnr-himbaechel-ng-ultra-test.dir/bitstream.cc.o -MF CMakeFiles/nextpnr-himbaechel-ng-ultra-test.dir/bitstream.cc.o.d -o CMakeFiles/nextpnr-himbaechel-ng-ultra-test.dir/bitstream.cc.o -c /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/himbaechel/uarch/ng-ultra/bitstream.cc [ 76%] Building CXX object himbaechel/uarch/ng-ultra/CMakeFiles/nextpnr-himbaechel-ng-ultra.dir/__/__/__/common/kernel/bits.cc.o cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/himbaechel/uarch/ng-ultra && /gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/bin/c++ -DARCHNAME=himbaechel -DARCH_HIMBAECHEL -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DNEXTPNR_NAMESPACE=nextpnr_himbaechel -DNO_RUST -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -Dnextpnr_himbaechel_ng_ultra_EXPORTS -I/gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/include/python3.11 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/himbaechel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/common -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/frontend/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/json/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/rust/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/json11/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/place/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/route/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/oourafft/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/himbaechel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui -isystem /gnu/store/r94kayv6y93mj1hgc13k11klha366dsw-eigen-3.4.0/include/eigen3 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtWidgets -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtGui -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtCore -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/lib/qt5/mkspecs/linux-g++ -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -fdiagnostics-color=always -fPIC -MD -MT himbaechel/uarch/ng-ultra/CMakeFiles/nextpnr-himbaechel-ng-ultra.dir/ng_ultra.cc.o -MF CMakeFiles/nextpnr-himbaechel-ng-ultra.dir/ng_ultra.cc.o.d -o CMakeFiles/nextpnr-himbaechel-ng-ultra.dir/ng_ultra.cc.o -c /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/himbaechel/uarch/ng-ultra/ng_ultra.cc [ 76%] Building CXX object himbaechel/uarch/ng-ultra/CMakeFiles/nextpnr-himbaechel-ng-ultra.dir/__/__/__/common/kernel/basectx.cc.o cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/himbaechel/uarch/ng-ultra && /gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/bin/c++ -DARCHNAME=himbaechel -DARCH_HIMBAECHEL -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DGTEST_LINKED_AS_SHARED_LIBRARY=1 -DNEXTPNR_NAMESPACE=nextpnr_himbaechel -DNO_RUST -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -Dnextpnr_himbaechel_ng_ultra_test_EXPORTS -I/gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/include/python3.11 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/himbaechel/uarch/ng-ultra/gtest_include_dir -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/himbaechel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/common -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/frontend/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/json/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/rust/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/json11/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/place/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/route/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/oourafft/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/himbaechel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui -isystem /gnu/store/r94kayv6y93mj1hgc13k11klha366dsw-eigen-3.4.0/include/eigen3 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtWidgets -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtGui -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtCore -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/lib/qt5/mkspecs/linux-g++ -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -fdiagnostics-color=always -fPIC -MD -MT himbaechel/uarch/ng-ultra/CMakeFiles/nextpnr-himbaechel-ng-ultra-test.dir/__/__/arch.cc.o -MF CMakeFiles/nextpnr-himbaechel-ng-ultra-test.dir/__/__/arch.cc.o.d -o CMakeFiles/nextpnr-himbaechel-ng-ultra-test.dir/__/__/arch.cc.o -c /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/himbaechel/arch.cc cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/himbaechel/uarch/ng-ultra && /gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/bin/c++ -DARCHNAME=himbaechel -DARCH_HIMBAECHEL -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DNEXTPNR_NAMESPACE=nextpnr_himbaechel -DNO_RUST -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -Dnextpnr_himbaechel_ng_ultra_EXPORTS -I/gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/include/python3.11 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/himbaechel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/common -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/frontend/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/json/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/rust/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/json11/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/place/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/route/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/oourafft/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/himbaechel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui -isystem /gnu/store/r94kayv6y93mj1hgc13k11klha366dsw-eigen-3.4.0/include/eigen3 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtWidgets -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtGui -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtCore -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/lib/qt5/mkspecs/linux-g++ -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -fdiagnostics-color=always -fPIC -MD -MT himbaechel/uarch/ng-ultra/CMakeFiles/nextpnr-himbaechel-ng-ultra.dir/location_map.cc.o -MF CMakeFiles/nextpnr-himbaechel-ng-ultra.dir/location_map.cc.o.d -o CMakeFiles/nextpnr-himbaechel-ng-ultra.dir/location_map.cc.o -c /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/himbaechel/uarch/ng-ultra/location_map.cc [ 76%] Building CXX object himbaechel/uarch/ng-ultra/CMakeFiles/nextpnr-himbaechel-ng-ultra-test.dir/location_map.cc.o cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/himbaechel/uarch/ng-ultra && /gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/bin/c++ -DARCHNAME=himbaechel -DARCH_HIMBAECHEL -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DGTEST_LINKED_AS_SHARED_LIBRARY=1 -DNEXTPNR_NAMESPACE=nextpnr_himbaechel -DNO_RUST -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -Dnextpnr_himbaechel_ng_ultra_test_EXPORTS -I/gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/include/python3.11 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/himbaechel/uarch/ng-ultra/gtest_include_dir -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/himbaechel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/common -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/frontend/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/json/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/rust/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/json11/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/place/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/route/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/oourafft/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/himbaechel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui -isystem /gnu/store/r94kayv6y93mj1hgc13k11klha366dsw-eigen-3.4.0/include/eigen3 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtWidgets -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtGui -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtCore -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/lib/qt5/mkspecs/linux-g++ -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -fdiagnostics-color=always -fPIC -MD -MT himbaechel/uarch/ng-ultra/CMakeFiles/nextpnr-himbaechel-ng-ultra-test.dir/__/__/himbaechel_api.cc.o -MF CMakeFiles/nextpnr-himbaechel-ng-ultra-test.dir/__/__/himbaechel_api.cc.o.d -o CMakeFiles/nextpnr-himbaechel-ng-ultra-test.dir/__/__/himbaechel_api.cc.o -c /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/himbaechel/himbaechel_api.cc [ 76%] Building CXX object himbaechel/uarch/ng-ultra/CMakeFiles/nextpnr-himbaechel-ng-ultra-test.dir/ng_ultra.cc.o cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/himbaechel/uarch/ng-ultra && /gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/bin/c++ -DARCHNAME=himbaechel -DARCH_HIMBAECHEL -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DGTEST_LINKED_AS_SHARED_LIBRARY=1 -DNEXTPNR_NAMESPACE=nextpnr_himbaechel -DNO_RUST -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -Dnextpnr_himbaechel_ng_ultra_test_EXPORTS -I/gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/include/python3.11 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/himbaechel/uarch/ng-ultra/gtest_include_dir -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/himbaechel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/common -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/frontend/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/json/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/rust/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/json11/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/place/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/route/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/oourafft/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/himbaechel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui -isystem /gnu/store/r94kayv6y93mj1hgc13k11klha366dsw-eigen-3.4.0/include/eigen3 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtWidgets -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtGui -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtCore -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/lib/qt5/mkspecs/linux-g++ -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -fdiagnostics-color=always -fPIC -MD -MT himbaechel/uarch/ng-ultra/CMakeFiles/nextpnr-himbaechel-ng-ultra-test.dir/__/__/himbaechel_helpers.cc.o -MF CMakeFiles/nextpnr-himbaechel-ng-ultra-test.dir/__/__/himbaechel_helpers.cc.o.d -o CMakeFiles/nextpnr-himbaechel-ng-ultra-test.dir/__/__/himbaechel_helpers.cc.o -c /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/himbaechel/himbaechel_helpers.cc [ 76%] Building CXX object himbaechel/uarch/ng-ultra/CMakeFiles/nextpnr-himbaechel-ng-ultra.dir/bitstream.cc.o [ 76%] Building CXX object himbaechel/uarch/ng-ultra/CMakeFiles/nextpnr-himbaechel-ng-ultra-test.dir/pack.cc.o [ 76%] Building CXX object himbaechel/uarch/ng-ultra/CMakeFiles/nextpnr-himbaechel-ng-ultra.dir/__/__/__/common/kernel/command.cc.o cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/himbaechel/uarch/ng-ultra && /gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/bin/c++ -DARCHNAME=himbaechel -DARCH_HIMBAECHEL -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DNEXTPNR_NAMESPACE=nextpnr_himbaechel -DNO_RUST -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -Dnextpnr_himbaechel_ng_ultra_EXPORTS -I/gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/include/python3.11 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/himbaechel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/common -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/frontend/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/json/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/rust/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/json11/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/place/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/route/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/oourafft/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/himbaechel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui -isystem /gnu/store/r94kayv6y93mj1hgc13k11klha366dsw-eigen-3.4.0/include/eigen3 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtWidgets -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtGui -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtCore -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/lib/qt5/mkspecs/linux-g++ -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -fdiagnostics-color=always -fPIC -MD -MT himbaechel/uarch/ng-ultra/CMakeFiles/nextpnr-himbaechel-ng-ultra.dir/pack.cc.o -MF CMakeFiles/nextpnr-himbaechel-ng-ultra.dir/pack.cc.o.d -o CMakeFiles/nextpnr-himbaechel-ng-ultra.dir/pack.cc.o -c /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/himbaechel/uarch/ng-ultra/pack.cc cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/himbaechel/uarch/ng-ultra && /gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/bin/c++ -DARCHNAME=himbaechel -DARCH_HIMBAECHEL -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DGTEST_LINKED_AS_SHARED_LIBRARY=1 -DNEXTPNR_NAMESPACE=nextpnr_himbaechel -DNO_RUST -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -Dnextpnr_himbaechel_ng_ultra_test_EXPORTS -I/gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/include/python3.11 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/himbaechel/uarch/ng-ultra/gtest_include_dir -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/himbaechel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/common -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/frontend/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/json/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/rust/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/json11/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/place/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/route/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/oourafft/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/himbaechel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui -isystem /gnu/store/r94kayv6y93mj1hgc13k11klha366dsw-eigen-3.4.0/include/eigen3 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtWidgets -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtGui -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtCore -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/lib/qt5/mkspecs/linux-g++ -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -fdiagnostics-color=always -fPIC -MD -MT himbaechel/uarch/ng-ultra/CMakeFiles/nextpnr-himbaechel-ng-ultra-test.dir/cells.cc.o -MF CMakeFiles/nextpnr-himbaechel-ng-ultra-test.dir/cells.cc.o.d -o CMakeFiles/nextpnr-himbaechel-ng-ultra-test.dir/cells.cc.o -c /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/himbaechel/uarch/ng-ultra/cells.cc [ 76%] Building CXX object himbaechel/uarch/ng-ultra/CMakeFiles/nextpnr-himbaechel-ng-ultra.dir/__/__/__/common/kernel/design_utils.cc.o cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/himbaechel/uarch/ng-ultra && /gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/bin/c++ -DARCHNAME=himbaechel -DARCH_HIMBAECHEL -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DNEXTPNR_NAMESPACE=nextpnr_himbaechel -DNO_RUST -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -Dnextpnr_himbaechel_ng_ultra_EXPORTS -I/gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/include/python3.11 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/himbaechel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/common -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/frontend/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/json/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/rust/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/json11/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/place/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/route/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/oourafft/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/himbaechel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui -isystem /gnu/store/r94kayv6y93mj1hgc13k11klha366dsw-eigen-3.4.0/include/eigen3 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtWidgets -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtGui -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtCore -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/lib/qt5/mkspecs/linux-g++ -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -fdiagnostics-color=always -fPIC -MD -MT himbaechel/uarch/ng-ultra/CMakeFiles/nextpnr-himbaechel-ng-ultra.dir/__/__/__/common/kernel/archcheck.cc.o -MF CMakeFiles/nextpnr-himbaechel-ng-ultra.dir/__/__/__/common/kernel/archcheck.cc.o.d -o CMakeFiles/nextpnr-himbaechel-ng-ultra.dir/__/__/__/common/kernel/archcheck.cc.o -c /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/archcheck.cc [ 76%] Building CXX object himbaechel/uarch/ng-ultra/CMakeFiles/nextpnr-himbaechel-ng-ultra.dir/__/__/__/common/kernel/context.cc.o cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/himbaechel/uarch/ng-ultra && /gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/bin/c++ -DARCHNAME=himbaechel -DARCH_HIMBAECHEL -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DGTEST_LINKED_AS_SHARED_LIBRARY=1 -DNEXTPNR_NAMESPACE=nextpnr_himbaechel -DNO_RUST -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -Dnextpnr_himbaechel_ng_ultra_test_EXPORTS -I/gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/include/python3.11 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/himbaechel/uarch/ng-ultra/gtest_include_dir -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/himbaechel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/common -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/frontend/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/json/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/rust/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/json11/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/place/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/route/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/oourafft/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/himbaechel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui -isystem /gnu/store/r94kayv6y93mj1hgc13k11klha366dsw-eigen-3.4.0/include/eigen3 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtWidgets -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtGui -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtCore -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/lib/qt5/mkspecs/linux-g++ -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -fdiagnostics-color=always -fPIC -MD -MT himbaechel/uarch/ng-ultra/CMakeFiles/nextpnr-himbaechel-ng-ultra-test.dir/csv.cc.o -MF CMakeFiles/nextpnr-himbaechel-ng-ultra-test.dir/csv.cc.o.d -o CMakeFiles/nextpnr-himbaechel-ng-ultra-test.dir/csv.cc.o -c /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/himbaechel/uarch/ng-ultra/csv.cc [ 76%] Building CXX object himbaechel/uarch/ng-ultra/CMakeFiles/nextpnr-himbaechel-ng-ultra.dir/__/__/__/common/kernel/embed.cc.o [ 76%] Building CXX object himbaechel/uarch/ng-ultra/CMakeFiles/nextpnr-himbaechel-ng-ultra-test.dir/__/__/__/common/kernel/basectx.cc.o [ 76%] Building CXX object himbaechel/uarch/ng-ultra/CMakeFiles/nextpnr-himbaechel-ng-ultra.dir/__/__/__/common/kernel/handle_error.cc.o cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/himbaechel/uarch/ng-ultra && /gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/bin/c++ -DARCHNAME=himbaechel -DARCH_HIMBAECHEL -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DNEXTPNR_NAMESPACE=nextpnr_himbaechel -DNO_RUST -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -Dnextpnr_himbaechel_ng_ultra_EXPORTS -I/gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/include/python3.11 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/himbaechel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/common -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/frontend/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/json/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/rust/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/json11/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/place/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/route/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/oourafft/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/himbaechel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui -isystem /gnu/store/r94kayv6y93mj1hgc13k11klha366dsw-eigen-3.4.0/include/eigen3 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtWidgets -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtGui -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtCore -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/lib/qt5/mkspecs/linux-g++ -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -fdiagnostics-color=always -fPIC -MD -MT himbaechel/uarch/ng-ultra/CMakeFiles/nextpnr-himbaechel-ng-ultra.dir/__/__/__/common/kernel/basectx.cc.o -MF CMakeFiles/nextpnr-himbaechel-ng-ultra.dir/__/__/__/common/kernel/basectx.cc.o.d -o CMakeFiles/nextpnr-himbaechel-ng-ultra.dir/__/__/__/common/kernel/basectx.cc.o -c /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/basectx.cc [ 76%] Building CXX object himbaechel/uarch/ng-ultra/CMakeFiles/nextpnr-himbaechel-ng-ultra-test.dir/__/__/__/common/kernel/archcheck.cc.o cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/himbaechel/uarch/ng-ultra && /gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/bin/c++ -DARCHNAME=himbaechel -DARCH_HIMBAECHEL -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DGTEST_LINKED_AS_SHARED_LIBRARY=1 -DNEXTPNR_NAMESPACE=nextpnr_himbaechel -DNO_RUST -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -Dnextpnr_himbaechel_ng_ultra_test_EXPORTS -I/gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/include/python3.11 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/himbaechel/uarch/ng-ultra/gtest_include_dir -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/himbaechel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/common -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/frontend/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/json/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/rust/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/json11/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/place/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/route/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/oourafft/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/himbaechel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui -isystem /gnu/store/r94kayv6y93mj1hgc13k11klha366dsw-eigen-3.4.0/include/eigen3 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtWidgets -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtGui -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtCore -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/lib/qt5/mkspecs/linux-g++ -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -fdiagnostics-color=always -fPIC -MD -MT himbaechel/uarch/ng-ultra/CMakeFiles/nextpnr-himbaechel-ng-ultra-test.dir/location_map.cc.o -MF CMakeFiles/nextpnr-himbaechel-ng-ultra-test.dir/location_map.cc.o.d -o CMakeFiles/nextpnr-himbaechel-ng-ultra-test.dir/location_map.cc.o -c /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/himbaechel/uarch/ng-ultra/location_map.cc cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/himbaechel/uarch/ng-ultra && /gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/bin/c++ -DARCHNAME=himbaechel -DARCH_HIMBAECHEL -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DNEXTPNR_NAMESPACE=nextpnr_himbaechel -DNO_RUST -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -Dnextpnr_himbaechel_ng_ultra_EXPORTS -I/gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/include/python3.11 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/himbaechel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/common -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/frontend/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/json/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/rust/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/json11/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/place/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/route/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/oourafft/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/himbaechel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui -isystem /gnu/store/r94kayv6y93mj1hgc13k11klha366dsw-eigen-3.4.0/include/eigen3 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtWidgets -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtGui -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtCore -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/lib/qt5/mkspecs/linux-g++ -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -fdiagnostics-color=always -fPIC -MD -MT himbaechel/uarch/ng-ultra/CMakeFiles/nextpnr-himbaechel-ng-ultra.dir/__/__/__/common/kernel/bits.cc.o -MF CMakeFiles/nextpnr-himbaechel-ng-ultra.dir/__/__/__/common/kernel/bits.cc.o.d -o CMakeFiles/nextpnr-himbaechel-ng-ultra.dir/__/__/__/common/kernel/bits.cc.o -c /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/bits.cc [ 77%] Building CXX object himbaechel/uarch/ng-ultra/CMakeFiles/nextpnr-himbaechel-ng-ultra-test.dir/__/__/__/common/kernel/bits.cc.o cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/himbaechel/uarch/ng-ultra && /gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/bin/c++ -DARCHNAME=himbaechel -DARCH_HIMBAECHEL -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DGTEST_LINKED_AS_SHARED_LIBRARY=1 -DNEXTPNR_NAMESPACE=nextpnr_himbaechel -DNO_RUST -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -Dnextpnr_himbaechel_ng_ultra_test_EXPORTS -I/gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/include/python3.11 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/himbaechel/uarch/ng-ultra/gtest_include_dir -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/himbaechel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/common -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/frontend/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/json/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/rust/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/json11/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/place/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/route/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/oourafft/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/himbaechel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui -isystem /gnu/store/r94kayv6y93mj1hgc13k11klha366dsw-eigen-3.4.0/include/eigen3 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtWidgets -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtGui -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtCore -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/lib/qt5/mkspecs/linux-g++ -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -fdiagnostics-color=always -fPIC -MD -MT himbaechel/uarch/ng-ultra/CMakeFiles/nextpnr-himbaechel-ng-ultra-test.dir/ng_ultra.cc.o -MF CMakeFiles/nextpnr-himbaechel-ng-ultra-test.dir/ng_ultra.cc.o.d -o CMakeFiles/nextpnr-himbaechel-ng-ultra-test.dir/ng_ultra.cc.o -c /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/himbaechel/uarch/ng-ultra/ng_ultra.cc [ 77%] Building CXX object himbaechel/uarch/ng-ultra/CMakeFiles/nextpnr-himbaechel-ng-ultra-test.dir/__/__/__/common/kernel/command.cc.o [ 77%] Building CXX object himbaechel/uarch/ng-ultra/CMakeFiles/nextpnr-himbaechel-ng-ultra.dir/__/__/__/common/kernel/idstring.cc.o cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/himbaechel/uarch/ng-ultra && /gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/bin/c++ -DARCHNAME=himbaechel -DARCH_HIMBAECHEL -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DNEXTPNR_NAMESPACE=nextpnr_himbaechel -DNO_RUST -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -Dnextpnr_himbaechel_ng_ultra_EXPORTS -I/gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/include/python3.11 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/himbaechel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/common -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/frontend/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/json/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/rust/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/json11/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/place/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/route/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/oourafft/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/himbaechel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui -isystem /gnu/store/r94kayv6y93mj1hgc13k11klha366dsw-eigen-3.4.0/include/eigen3 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtWidgets -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtGui -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtCore -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/lib/qt5/mkspecs/linux-g++ -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -fdiagnostics-color=always -fPIC -MD -MT himbaechel/uarch/ng-ultra/CMakeFiles/nextpnr-himbaechel-ng-ultra.dir/__/__/__/common/kernel/command.cc.o -MF CMakeFiles/nextpnr-himbaechel-ng-ultra.dir/__/__/__/common/kernel/command.cc.o.d -o CMakeFiles/nextpnr-himbaechel-ng-ultra.dir/__/__/__/common/kernel/command.cc.o -c /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/command.cc cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/himbaechel/uarch/ng-ultra && /gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/bin/c++ -DARCHNAME=himbaechel -DARCH_HIMBAECHEL -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DGTEST_LINKED_AS_SHARED_LIBRARY=1 -DNEXTPNR_NAMESPACE=nextpnr_himbaechel -DNO_RUST -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -Dnextpnr_himbaechel_ng_ultra_test_EXPORTS -I/gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/include/python3.11 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/himbaechel/uarch/ng-ultra/gtest_include_dir -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/himbaechel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/common -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/frontend/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/json/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/rust/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/json11/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/place/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/route/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/oourafft/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/himbaechel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui -isystem /gnu/store/r94kayv6y93mj1hgc13k11klha366dsw-eigen-3.4.0/include/eigen3 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtWidgets -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtGui -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtCore -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/lib/qt5/mkspecs/linux-g++ -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -fdiagnostics-color=always -fPIC -MD -MT himbaechel/uarch/ng-ultra/CMakeFiles/nextpnr-himbaechel-ng-ultra-test.dir/pack.cc.o -MF CMakeFiles/nextpnr-himbaechel-ng-ultra-test.dir/pack.cc.o.d -o CMakeFiles/nextpnr-himbaechel-ng-ultra-test.dir/pack.cc.o -c /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/himbaechel/uarch/ng-ultra/pack.cc [ 77%] Building CXX object himbaechel/uarch/ng-ultra/CMakeFiles/nextpnr-himbaechel-ng-ultra-test.dir/__/__/__/common/kernel/context.cc.o [ 77%] Building CXX object himbaechel/uarch/ng-ultra/CMakeFiles/nextpnr-himbaechel-ng-ultra-test.dir/__/__/__/common/kernel/design_utils.cc.o cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/himbaechel/uarch/ng-ultra && /gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/bin/c++ -DARCHNAME=himbaechel -DARCH_HIMBAECHEL -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DNEXTPNR_NAMESPACE=nextpnr_himbaechel -DNO_RUST -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -Dnextpnr_himbaechel_ng_ultra_EXPORTS -I/gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/include/python3.11 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/himbaechel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/common -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/frontend/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/json/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/rust/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/json11/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/place/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/route/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/oourafft/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/himbaechel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui -isystem /gnu/store/r94kayv6y93mj1hgc13k11klha366dsw-eigen-3.4.0/include/eigen3 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtWidgets -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtGui -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtCore -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/lib/qt5/mkspecs/linux-g++ -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -fdiagnostics-color=always -fPIC -MD -MT himbaechel/uarch/ng-ultra/CMakeFiles/nextpnr-himbaechel-ng-ultra.dir/__/__/__/common/kernel/context.cc.o -MF CMakeFiles/nextpnr-himbaechel-ng-ultra.dir/__/__/__/common/kernel/context.cc.o.d -o CMakeFiles/nextpnr-himbaechel-ng-ultra.dir/__/__/__/common/kernel/context.cc.o -c /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/context.cc cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/himbaechel/uarch/ng-ultra && /gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/bin/c++ -DARCHNAME=himbaechel -DARCH_HIMBAECHEL -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DGTEST_LINKED_AS_SHARED_LIBRARY=1 -DNEXTPNR_NAMESPACE=nextpnr_himbaechel -DNO_RUST -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -Dnextpnr_himbaechel_ng_ultra_test_EXPORTS -I/gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/include/python3.11 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/himbaechel/uarch/ng-ultra/gtest_include_dir -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/himbaechel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/common -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/frontend/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/json/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/rust/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/json11/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/place/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/route/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/oourafft/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/himbaechel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui -isystem /gnu/store/r94kayv6y93mj1hgc13k11klha366dsw-eigen-3.4.0/include/eigen3 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtWidgets -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtGui -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtCore -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/lib/qt5/mkspecs/linux-g++ -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -fdiagnostics-color=always -fPIC -MD -MT himbaechel/uarch/ng-ultra/CMakeFiles/nextpnr-himbaechel-ng-ultra-test.dir/__/__/__/common/kernel/archcheck.cc.o -MF CMakeFiles/nextpnr-himbaechel-ng-ultra-test.dir/__/__/__/common/kernel/archcheck.cc.o.d -o CMakeFiles/nextpnr-himbaechel-ng-ultra-test.dir/__/__/__/common/kernel/archcheck.cc.o -c /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/archcheck.cc [ 77%] Building CXX object himbaechel/uarch/ng-ultra/CMakeFiles/nextpnr-himbaechel-ng-ultra-test.dir/__/__/__/common/kernel/embed.cc.o cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/himbaechel/uarch/ng-ultra && /gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/bin/c++ -DARCHNAME=himbaechel -DARCH_HIMBAECHEL -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DNEXTPNR_NAMESPACE=nextpnr_himbaechel -DNO_RUST -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -Dnextpnr_himbaechel_ng_ultra_EXPORTS -I/gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/include/python3.11 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/himbaechel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/common -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/frontend/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/json/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/rust/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/json11/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/place/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/route/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/oourafft/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/himbaechel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui -isystem /gnu/store/r94kayv6y93mj1hgc13k11klha366dsw-eigen-3.4.0/include/eigen3 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtWidgets -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtGui -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtCore -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/lib/qt5/mkspecs/linux-g++ -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -fdiagnostics-color=always -fPIC -MD -MT himbaechel/uarch/ng-ultra/CMakeFiles/nextpnr-himbaechel-ng-ultra.dir/bitstream.cc.o -MF CMakeFiles/nextpnr-himbaechel-ng-ultra.dir/bitstream.cc.o.d -o CMakeFiles/nextpnr-himbaechel-ng-ultra.dir/bitstream.cc.o -c /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/himbaechel/uarch/ng-ultra/bitstream.cc cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/himbaechel/uarch/ng-ultra && /gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/bin/c++ -DARCHNAME=himbaechel -DARCH_HIMBAECHEL -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DGTEST_LINKED_AS_SHARED_LIBRARY=1 -DNEXTPNR_NAMESPACE=nextpnr_himbaechel -DNO_RUST -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -Dnextpnr_himbaechel_ng_ultra_test_EXPORTS -I/gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/include/python3.11 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/himbaechel/uarch/ng-ultra/gtest_include_dir -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/himbaechel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/common -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/frontend/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/json/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/rust/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/json11/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/place/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/route/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/oourafft/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/himbaechel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui -isystem /gnu/store/r94kayv6y93mj1hgc13k11klha366dsw-eigen-3.4.0/include/eigen3 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtWidgets -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtGui -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtCore -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/lib/qt5/mkspecs/linux-g++ -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -fdiagnostics-color=always -fPIC -MD -MT himbaechel/uarch/ng-ultra/CMakeFiles/nextpnr-himbaechel-ng-ultra-test.dir/__/__/__/common/kernel/basectx.cc.o -MF CMakeFiles/nextpnr-himbaechel-ng-ultra-test.dir/__/__/__/common/kernel/basectx.cc.o.d -o CMakeFiles/nextpnr-himbaechel-ng-ultra-test.dir/__/__/__/common/kernel/basectx.cc.o -c /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/basectx.cc cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/himbaechel/uarch/ng-ultra && /gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/bin/c++ -DARCHNAME=himbaechel -DARCH_HIMBAECHEL -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DNEXTPNR_NAMESPACE=nextpnr_himbaechel -DNO_RUST -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -Dnextpnr_himbaechel_ng_ultra_EXPORTS -I/gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/include/python3.11 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/himbaechel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/common -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/frontend/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/json/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/rust/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/json11/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/place/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/route/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/oourafft/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/himbaechel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui -isystem /gnu/store/r94kayv6y93mj1hgc13k11klha366dsw-eigen-3.4.0/include/eigen3 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtWidgets -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtGui -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtCore -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/lib/qt5/mkspecs/linux-g++ -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -fdiagnostics-color=always -fPIC -MD -MT himbaechel/uarch/ng-ultra/CMakeFiles/nextpnr-himbaechel-ng-ultra.dir/__/__/__/common/kernel/design_utils.cc.o -MF CMakeFiles/nextpnr-himbaechel-ng-ultra.dir/__/__/__/common/kernel/design_utils.cc.o.d -o CMakeFiles/nextpnr-himbaechel-ng-ultra.dir/__/__/__/common/kernel/design_utils.cc.o -c /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/design_utils.cc [ 78%] Building CXX object himbaechel/uarch/ng-ultra/CMakeFiles/nextpnr-himbaechel-ng-ultra.dir/__/__/__/common/kernel/log.cc.o [ 78%] Building CXX object himbaechel/uarch/ng-ultra/CMakeFiles/nextpnr-himbaechel-ng-ultra.dir/__/__/__/common/kernel/nextpnr_assertions.cc.o cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/himbaechel/uarch/ng-ultra && /gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/bin/c++ -DARCHNAME=himbaechel -DARCH_HIMBAECHEL -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DGTEST_LINKED_AS_SHARED_LIBRARY=1 -DNEXTPNR_NAMESPACE=nextpnr_himbaechel -DNO_RUST -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -Dnextpnr_himbaechel_ng_ultra_test_EXPORTS -I/gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/include/python3.11 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/himbaechel/uarch/ng-ultra/gtest_include_dir -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/himbaechel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/common -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/frontend/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/json/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/rust/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/json11/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/place/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/route/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/oourafft/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/himbaechel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui -isystem /gnu/store/r94kayv6y93mj1hgc13k11klha366dsw-eigen-3.4.0/include/eigen3 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtWidgets -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtGui -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtCore -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/lib/qt5/mkspecs/linux-g++ -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -fdiagnostics-color=always -fPIC -MD -MT himbaechel/uarch/ng-ultra/CMakeFiles/nextpnr-himbaechel-ng-ultra-test.dir/__/__/__/common/kernel/bits.cc.o -MF CMakeFiles/nextpnr-himbaechel-ng-ultra-test.dir/__/__/__/common/kernel/bits.cc.o.d -o CMakeFiles/nextpnr-himbaechel-ng-ultra-test.dir/__/__/__/common/kernel/bits.cc.o -c /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/bits.cc cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/himbaechel/uarch/ng-ultra && /gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/bin/c++ -DARCHNAME=himbaechel -DARCH_HIMBAECHEL -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DNEXTPNR_NAMESPACE=nextpnr_himbaechel -DNO_RUST -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -Dnextpnr_himbaechel_ng_ultra_EXPORTS -I/gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/include/python3.11 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/himbaechel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/common -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/frontend/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/json/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/rust/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/json11/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/place/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/route/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/oourafft/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/himbaechel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui -isystem /gnu/store/r94kayv6y93mj1hgc13k11klha366dsw-eigen-3.4.0/include/eigen3 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtWidgets -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtGui -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtCore -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/lib/qt5/mkspecs/linux-g++ -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -fdiagnostics-color=always -fPIC -MD -MT himbaechel/uarch/ng-ultra/CMakeFiles/nextpnr-himbaechel-ng-ultra.dir/__/__/__/common/kernel/embed.cc.o -MF CMakeFiles/nextpnr-himbaechel-ng-ultra.dir/__/__/__/common/kernel/embed.cc.o.d -o CMakeFiles/nextpnr-himbaechel-ng-ultra.dir/__/__/__/common/kernel/embed.cc.o -c /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/embed.cc [ 78%] Building CXX object himbaechel/uarch/ng-ultra/CMakeFiles/nextpnr-himbaechel-ng-ultra.dir/__/__/__/common/kernel/nextpnr_namespaces.cc.o [ 78%] Building CXX object himbaechel/uarch/ng-ultra/CMakeFiles/nextpnr-himbaechel-ng-ultra.dir/__/__/__/common/kernel/nextpnr.cc.o cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/himbaechel/uarch/ng-ultra && /gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/bin/c++ -DARCHNAME=himbaechel -DARCH_HIMBAECHEL -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DGTEST_LINKED_AS_SHARED_LIBRARY=1 -DNEXTPNR_NAMESPACE=nextpnr_himbaechel -DNO_RUST -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -Dnextpnr_himbaechel_ng_ultra_test_EXPORTS -I/gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/include/python3.11 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/himbaechel/uarch/ng-ultra/gtest_include_dir -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/himbaechel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/common -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/frontend/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/json/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/rust/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/json11/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/place/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/route/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/oourafft/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/himbaechel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui -isystem /gnu/store/r94kayv6y93mj1hgc13k11klha366dsw-eigen-3.4.0/include/eigen3 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtWidgets -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtGui -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtCore -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/lib/qt5/mkspecs/linux-g++ -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -fdiagnostics-color=always -fPIC -MD -MT himbaechel/uarch/ng-ultra/CMakeFiles/nextpnr-himbaechel-ng-ultra-test.dir/__/__/__/common/kernel/command.cc.o -MF CMakeFiles/nextpnr-himbaechel-ng-ultra-test.dir/__/__/__/common/kernel/command.cc.o.d -o CMakeFiles/nextpnr-himbaechel-ng-ultra-test.dir/__/__/__/common/kernel/command.cc.o -c /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/command.cc cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/himbaechel/uarch/ng-ultra && /gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/bin/c++ -DARCHNAME=himbaechel -DARCH_HIMBAECHEL -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DNEXTPNR_NAMESPACE=nextpnr_himbaechel -DNO_RUST -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -Dnextpnr_himbaechel_ng_ultra_EXPORTS -I/gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/include/python3.11 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/himbaechel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/common -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/frontend/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/json/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/rust/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/json11/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/place/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/route/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/oourafft/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/himbaechel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui -isystem /gnu/store/r94kayv6y93mj1hgc13k11klha366dsw-eigen-3.4.0/include/eigen3 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtWidgets -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtGui -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtCore -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/lib/qt5/mkspecs/linux-g++ -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -fdiagnostics-color=always -fPIC -MD -MT himbaechel/uarch/ng-ultra/CMakeFiles/nextpnr-himbaechel-ng-ultra.dir/__/__/__/common/kernel/handle_error.cc.o -MF CMakeFiles/nextpnr-himbaechel-ng-ultra.dir/__/__/__/common/kernel/handle_error.cc.o.d -o CMakeFiles/nextpnr-himbaechel-ng-ultra.dir/__/__/__/common/kernel/handle_error.cc.o -c /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/handle_error.cc cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/himbaechel/uarch/ng-ultra && /gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/bin/c++ -DARCHNAME=himbaechel -DARCH_HIMBAECHEL -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DGTEST_LINKED_AS_SHARED_LIBRARY=1 -DNEXTPNR_NAMESPACE=nextpnr_himbaechel -DNO_RUST -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -Dnextpnr_himbaechel_ng_ultra_test_EXPORTS -I/gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/include/python3.11 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/himbaechel/uarch/ng-ultra/gtest_include_dir -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/himbaechel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/common -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/frontend/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/json/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/rust/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/json11/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/place/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/route/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/oourafft/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/himbaechel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui -isystem /gnu/store/r94kayv6y93mj1hgc13k11klha366dsw-eigen-3.4.0/include/eigen3 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtWidgets -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtGui -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtCore -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/lib/qt5/mkspecs/linux-g++ -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -fdiagnostics-color=always -fPIC -MD -MT himbaechel/uarch/ng-ultra/CMakeFiles/nextpnr-himbaechel-ng-ultra-test.dir/__/__/__/common/kernel/design_utils.cc.o -MF CMakeFiles/nextpnr-himbaechel-ng-ultra-test.dir/__/__/__/common/kernel/design_utils.cc.o.d -o CMakeFiles/nextpnr-himbaechel-ng-ultra-test.dir/__/__/__/common/kernel/design_utils.cc.o -c /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/design_utils.cc cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/himbaechel/uarch/ng-ultra && /gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/bin/c++ -DARCHNAME=himbaechel -DARCH_HIMBAECHEL -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DNEXTPNR_NAMESPACE=nextpnr_himbaechel -DNO_RUST -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -Dnextpnr_himbaechel_ng_ultra_EXPORTS -I/gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/include/python3.11 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/himbaechel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/common -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/frontend/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/json/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/rust/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/json11/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/place/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/route/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/oourafft/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/himbaechel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui -isystem /gnu/store/r94kayv6y93mj1hgc13k11klha366dsw-eigen-3.4.0/include/eigen3 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtWidgets -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtGui -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtCore -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/lib/qt5/mkspecs/linux-g++ -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -fdiagnostics-color=always -fPIC -MD -MT himbaechel/uarch/ng-ultra/CMakeFiles/nextpnr-himbaechel-ng-ultra.dir/__/__/__/common/kernel/idstring.cc.o -MF CMakeFiles/nextpnr-himbaechel-ng-ultra.dir/__/__/__/common/kernel/idstring.cc.o.d -o CMakeFiles/nextpnr-himbaechel-ng-ultra.dir/__/__/__/common/kernel/idstring.cc.o -c /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/idstring.cc [ 78%] Building CXX object himbaechel/uarch/ng-ultra/CMakeFiles/nextpnr-himbaechel-ng-ultra-test.dir/__/__/__/common/kernel/handle_error.cc.o [ 78%] Building CXX object himbaechel/uarch/ng-ultra/CMakeFiles/nextpnr-himbaechel-ng-ultra.dir/__/__/__/common/kernel/nextpnr_types.cc.o [ 78%] Building CXX object himbaechel/uarch/ng-ultra/CMakeFiles/nextpnr-himbaechel-ng-ultra-test.dir/__/__/__/common/kernel/idstring.cc.o [ 78%] Building CXX object himbaechel/uarch/ng-ultra/CMakeFiles/nextpnr-himbaechel-ng-ultra-test.dir/__/__/__/common/kernel/idstringlist.cc.o [ 78%] Building CXX object himbaechel/uarch/ng-ultra/CMakeFiles/nextpnr-himbaechel-ng-ultra-test.dir/__/__/__/common/kernel/nextpnr_types.cc.o cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/himbaechel/uarch/ng-ultra && /gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/bin/c++ -DARCHNAME=himbaechel -DARCH_HIMBAECHEL -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DGTEST_LINKED_AS_SHARED_LIBRARY=1 -DNEXTPNR_NAMESPACE=nextpnr_himbaechel -DNO_RUST -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -Dnextpnr_himbaechel_ng_ultra_test_EXPORTS -I/gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/include/python3.11 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/himbaechel/uarch/ng-ultra/gtest_include_dir -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/himbaechel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/common -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/frontend/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/json/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/rust/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/json11/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/place/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/route/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/oourafft/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/himbaechel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui -isystem /gnu/store/r94kayv6y93mj1hgc13k11klha366dsw-eigen-3.4.0/include/eigen3 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtWidgets -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtGui -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtCore -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/lib/qt5/mkspecs/linux-g++ -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -fdiagnostics-color=always -fPIC -MD -MT himbaechel/uarch/ng-ultra/CMakeFiles/nextpnr-himbaechel-ng-ultra-test.dir/__/__/__/common/kernel/embed.cc.o -MF CMakeFiles/nextpnr-himbaechel-ng-ultra-test.dir/__/__/__/common/kernel/embed.cc.o.d -o CMakeFiles/nextpnr-himbaechel-ng-ultra-test.dir/__/__/__/common/kernel/embed.cc.o -c /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/embed.cc cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/himbaechel/uarch/ng-ultra && /gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/bin/c++ -DARCHNAME=himbaechel -DARCH_HIMBAECHEL -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DGTEST_LINKED_AS_SHARED_LIBRARY=1 -DNEXTPNR_NAMESPACE=nextpnr_himbaechel -DNO_RUST -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -Dnextpnr_himbaechel_ng_ultra_test_EXPORTS -I/gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/include/python3.11 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/himbaechel/uarch/ng-ultra/gtest_include_dir -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/himbaechel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/common -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/frontend/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/json/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/rust/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/json11/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/place/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/route/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/oourafft/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/himbaechel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui -isystem /gnu/store/r94kayv6y93mj1hgc13k11klha366dsw-eigen-3.4.0/include/eigen3 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtWidgets -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtGui -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtCore -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/lib/qt5/mkspecs/linux-g++ -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -fdiagnostics-color=always -fPIC -MD -MT himbaechel/uarch/ng-ultra/CMakeFiles/nextpnr-himbaechel-ng-ultra-test.dir/__/__/__/common/kernel/context.cc.o -MF CMakeFiles/nextpnr-himbaechel-ng-ultra-test.dir/__/__/__/common/kernel/context.cc.o.d -o CMakeFiles/nextpnr-himbaechel-ng-ultra-test.dir/__/__/__/common/kernel/context.cc.o -c /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/context.cc cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/himbaechel/uarch/ng-ultra && /gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/bin/c++ -DARCHNAME=himbaechel -DARCH_HIMBAECHEL -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DNEXTPNR_NAMESPACE=nextpnr_himbaechel -DNO_RUST -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -Dnextpnr_himbaechel_ng_ultra_EXPORTS -I/gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/include/python3.11 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/himbaechel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/common -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/frontend/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/json/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/rust/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/json11/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/place/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/route/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/oourafft/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/himbaechel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui -isystem /gnu/store/r94kayv6y93mj1hgc13k11klha366dsw-eigen-3.4.0/include/eigen3 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtWidgets -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtGui -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtCore -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/lib/qt5/mkspecs/linux-g++ -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -fdiagnostics-color=always -fPIC -MD -MT himbaechel/uarch/ng-ultra/CMakeFiles/nextpnr-himbaechel-ng-ultra.dir/__/__/__/common/kernel/log.cc.o -MF CMakeFiles/nextpnr-himbaechel-ng-ultra.dir/__/__/__/common/kernel/log.cc.o.d -o CMakeFiles/nextpnr-himbaechel-ng-ultra.dir/__/__/__/common/kernel/log.cc.o -c /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/log.cc [ 79%] Building CXX object himbaechel/uarch/ng-ultra/CMakeFiles/nextpnr-himbaechel-ng-ultra-test.dir/__/__/__/common/kernel/nextpnr_assertions.cc.o [ 79%] Building CXX object himbaechel/uarch/ng-ultra/CMakeFiles/nextpnr-himbaechel-ng-ultra.dir/__/__/__/common/kernel/pybindings.cc.o [ 79%] Building CXX object himbaechel/uarch/ng-ultra/CMakeFiles/nextpnr-himbaechel-ng-ultra-test.dir/__/__/__/common/kernel/log.cc.o [ 79%] Building CXX object himbaechel/uarch/ng-ultra/CMakeFiles/nextpnr-himbaechel-ng-ultra.dir/__/__/__/common/kernel/property.cc.o cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/himbaechel/uarch/ng-ultra && /gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/bin/c++ -DARCHNAME=himbaechel -DARCH_HIMBAECHEL -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DNEXTPNR_NAMESPACE=nextpnr_himbaechel -DNO_RUST -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -Dnextpnr_himbaechel_ng_ultra_EXPORTS -I/gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/include/python3.11 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/himbaechel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/common -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/frontend/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/json/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/rust/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/json11/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/place/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/route/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/oourafft/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/himbaechel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui -isystem /gnu/store/r94kayv6y93mj1hgc13k11klha366dsw-eigen-3.4.0/include/eigen3 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtWidgets -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtGui -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtCore -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/lib/qt5/mkspecs/linux-g++ -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -fdiagnostics-color=always -fPIC -MD -MT himbaechel/uarch/ng-ultra/CMakeFiles/nextpnr-himbaechel-ng-ultra.dir/__/__/__/common/kernel/nextpnr_assertions.cc.o -MF CMakeFiles/nextpnr-himbaechel-ng-ultra.dir/__/__/__/common/kernel/nextpnr_assertions.cc.o.d -o CMakeFiles/nextpnr-himbaechel-ng-ultra.dir/__/__/__/common/kernel/nextpnr_assertions.cc.o -c /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/nextpnr_assertions.cc [ 79%] Building CXX object himbaechel/uarch/ng-ultra/CMakeFiles/nextpnr-himbaechel-ng-ultra.dir/__/__/__/common/kernel/report.cc.o cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/himbaechel/uarch/ng-ultra && /gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/bin/c++ -DARCHNAME=himbaechel -DARCH_HIMBAECHEL -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DNEXTPNR_NAMESPACE=nextpnr_himbaechel -DNO_RUST -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -Dnextpnr_himbaechel_ng_ultra_EXPORTS -I/gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/include/python3.11 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/himbaechel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/common -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/frontend/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/json/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/rust/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/json11/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/place/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/route/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/oourafft/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/himbaechel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui -isystem /gnu/store/r94kayv6y93mj1hgc13k11klha366dsw-eigen-3.4.0/include/eigen3 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtWidgets -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtGui -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtCore -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/lib/qt5/mkspecs/linux-g++ -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -fdiagnostics-color=always -fPIC -MD -MT himbaechel/uarch/ng-ultra/CMakeFiles/nextpnr-himbaechel-ng-ultra.dir/__/__/__/common/kernel/nextpnr.cc.o -MF CMakeFiles/nextpnr-himbaechel-ng-ultra.dir/__/__/__/common/kernel/nextpnr.cc.o.d -o CMakeFiles/nextpnr-himbaechel-ng-ultra.dir/__/__/__/common/kernel/nextpnr.cc.o -c /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/nextpnr.cc [ 79%] Building CXX object himbaechel/uarch/ng-ultra/CMakeFiles/nextpnr-himbaechel-ng-ultra-test.dir/__/__/__/common/kernel/nextpnr.cc.o cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/himbaechel/uarch/ng-ultra && /gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/bin/c++ -DARCHNAME=himbaechel -DARCH_HIMBAECHEL -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DGTEST_LINKED_AS_SHARED_LIBRARY=1 -DNEXTPNR_NAMESPACE=nextpnr_himbaechel -DNO_RUST -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -Dnextpnr_himbaechel_ng_ultra_test_EXPORTS -I/gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/include/python3.11 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/himbaechel/uarch/ng-ultra/gtest_include_dir -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/himbaechel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/common -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/frontend/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/json/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/rust/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/json11/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/place/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/route/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/oourafft/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/himbaechel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui -isystem /gnu/store/r94kayv6y93mj1hgc13k11klha366dsw-eigen-3.4.0/include/eigen3 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtWidgets -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtGui -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtCore -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/lib/qt5/mkspecs/linux-g++ -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -fdiagnostics-color=always -fPIC -MD -MT himbaechel/uarch/ng-ultra/CMakeFiles/nextpnr-himbaechel-ng-ultra-test.dir/__/__/__/common/kernel/handle_error.cc.o -MF CMakeFiles/nextpnr-himbaechel-ng-ultra-test.dir/__/__/__/common/kernel/handle_error.cc.o.d -o CMakeFiles/nextpnr-himbaechel-ng-ultra-test.dir/__/__/__/common/kernel/handle_error.cc.o -c /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/handle_error.cc cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/himbaechel/uarch/ng-ultra && /gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/bin/c++ -DARCHNAME=himbaechel -DARCH_HIMBAECHEL -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DNEXTPNR_NAMESPACE=nextpnr_himbaechel -DNO_RUST -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -Dnextpnr_himbaechel_ng_ultra_EXPORTS -I/gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/include/python3.11 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/himbaechel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/common -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/frontend/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/json/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/rust/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/json11/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/place/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/route/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/oourafft/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/himbaechel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui -isystem /gnu/store/r94kayv6y93mj1hgc13k11klha366dsw-eigen-3.4.0/include/eigen3 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtWidgets -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtGui -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtCore -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/lib/qt5/mkspecs/linux-g++ -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -fdiagnostics-color=always -fPIC -MD -MT himbaechel/uarch/ng-ultra/CMakeFiles/nextpnr-himbaechel-ng-ultra.dir/__/__/__/common/kernel/nextpnr_namespaces.cc.o -MF CMakeFiles/nextpnr-himbaechel-ng-ultra.dir/__/__/__/common/kernel/nextpnr_namespaces.cc.o.d -o CMakeFiles/nextpnr-himbaechel-ng-ultra.dir/__/__/__/common/kernel/nextpnr_namespaces.cc.o -c /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/nextpnr_namespaces.cc cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/himbaechel/uarch/ng-ultra && /gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/bin/c++ -DARCHNAME=himbaechel -DARCH_HIMBAECHEL -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DGTEST_LINKED_AS_SHARED_LIBRARY=1 -DNEXTPNR_NAMESPACE=nextpnr_himbaechel -DNO_RUST -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -Dnextpnr_himbaechel_ng_ultra_test_EXPORTS -I/gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/include/python3.11 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/himbaechel/uarch/ng-ultra/gtest_include_dir -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/himbaechel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/common -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/frontend/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/json/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/rust/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/json11/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/place/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/route/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/oourafft/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/himbaechel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui -isystem /gnu/store/r94kayv6y93mj1hgc13k11klha366dsw-eigen-3.4.0/include/eigen3 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtWidgets -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtGui -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtCore -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/lib/qt5/mkspecs/linux-g++ -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -fdiagnostics-color=always -fPIC -MD -MT himbaechel/uarch/ng-ultra/CMakeFiles/nextpnr-himbaechel-ng-ultra-test.dir/__/__/__/common/kernel/idstring.cc.o -MF CMakeFiles/nextpnr-himbaechel-ng-ultra-test.dir/__/__/__/common/kernel/idstring.cc.o.d -o CMakeFiles/nextpnr-himbaechel-ng-ultra-test.dir/__/__/__/common/kernel/idstring.cc.o -c /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/idstring.cc [ 79%] Building CXX object himbaechel/uarch/ng-ultra/CMakeFiles/nextpnr-himbaechel-ng-ultra.dir/__/__/__/common/kernel/idstringlist.cc.o cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/himbaechel/uarch/ng-ultra && /gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/bin/c++ -DARCHNAME=himbaechel -DARCH_HIMBAECHEL -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DGTEST_LINKED_AS_SHARED_LIBRARY=1 -DNEXTPNR_NAMESPACE=nextpnr_himbaechel -DNO_RUST -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -Dnextpnr_himbaechel_ng_ultra_test_EXPORTS -I/gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/include/python3.11 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/himbaechel/uarch/ng-ultra/gtest_include_dir -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/himbaechel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/common -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/frontend/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/json/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/rust/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/json11/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/place/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/route/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/oourafft/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/himbaechel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui -isystem /gnu/store/r94kayv6y93mj1hgc13k11klha366dsw-eigen-3.4.0/include/eigen3 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtWidgets -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtGui -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtCore -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/lib/qt5/mkspecs/linux-g++ -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -fdiagnostics-color=always -fPIC -MD -MT himbaechel/uarch/ng-ultra/CMakeFiles/nextpnr-himbaechel-ng-ultra-test.dir/__/__/__/common/kernel/idstringlist.cc.o -MF CMakeFiles/nextpnr-himbaechel-ng-ultra-test.dir/__/__/__/common/kernel/idstringlist.cc.o.d -o CMakeFiles/nextpnr-himbaechel-ng-ultra-test.dir/__/__/__/common/kernel/idstringlist.cc.o -c /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/idstringlist.cc [ 79%] Building CXX object himbaechel/uarch/ng-ultra/CMakeFiles/nextpnr-himbaechel-ng-ultra.dir/__/__/__/common/kernel/str_ring_buffer.cc.o cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/himbaechel/uarch/ng-ultra && /gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/bin/c++ -DARCHNAME=himbaechel -DARCH_HIMBAECHEL -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DNEXTPNR_NAMESPACE=nextpnr_himbaechel -DNO_RUST -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -Dnextpnr_himbaechel_ng_ultra_EXPORTS -I/gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/include/python3.11 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/himbaechel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/common -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/frontend/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/json/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/rust/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/json11/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/place/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/route/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/oourafft/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/himbaechel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui -isystem /gnu/store/r94kayv6y93mj1hgc13k11klha366dsw-eigen-3.4.0/include/eigen3 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtWidgets -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtGui -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtCore -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/lib/qt5/mkspecs/linux-g++ -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -fdiagnostics-color=always -fPIC -MD -MT himbaechel/uarch/ng-ultra/CMakeFiles/nextpnr-himbaechel-ng-ultra.dir/__/__/__/common/kernel/nextpnr_types.cc.o -MF CMakeFiles/nextpnr-himbaechel-ng-ultra.dir/__/__/__/common/kernel/nextpnr_types.cc.o.d -o CMakeFiles/nextpnr-himbaechel-ng-ultra.dir/__/__/__/common/kernel/nextpnr_types.cc.o -c /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/nextpnr_types.cc [ 79%] Building CXX object himbaechel/uarch/ng-ultra/CMakeFiles/nextpnr-himbaechel-ng-ultra.dir/__/__/__/common/kernel/sdc.cc.o [ 79%] Building CXX object himbaechel/uarch/ng-ultra/CMakeFiles/nextpnr-himbaechel-ng-ultra-test.dir/__/__/__/common/kernel/nextpnr_namespaces.cc.o [ 79%] Building CXX object himbaechel/uarch/ng-ultra/CMakeFiles/nextpnr-himbaechel-ng-ultra-test.dir/__/__/__/common/kernel/property.cc.o cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/himbaechel/uarch/ng-ultra && /gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/bin/c++ -DARCHNAME=himbaechel -DARCH_HIMBAECHEL -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DGTEST_LINKED_AS_SHARED_LIBRARY=1 -DNEXTPNR_NAMESPACE=nextpnr_himbaechel -DNO_RUST -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -Dnextpnr_himbaechel_ng_ultra_test_EXPORTS -I/gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/include/python3.11 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/himbaechel/uarch/ng-ultra/gtest_include_dir -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/himbaechel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/common -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/frontend/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/json/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/rust/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/json11/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/place/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/route/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/oourafft/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/himbaechel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui -isystem /gnu/store/r94kayv6y93mj1hgc13k11klha366dsw-eigen-3.4.0/include/eigen3 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtWidgets -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtGui -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtCore -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/lib/qt5/mkspecs/linux-g++ -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -fdiagnostics-color=always -fPIC -MD -MT himbaechel/uarch/ng-ultra/CMakeFiles/nextpnr-himbaechel-ng-ultra-test.dir/__/__/__/common/kernel/log.cc.o -MF CMakeFiles/nextpnr-himbaechel-ng-ultra-test.dir/__/__/__/common/kernel/log.cc.o.d -o CMakeFiles/nextpnr-himbaechel-ng-ultra-test.dir/__/__/__/common/kernel/log.cc.o -c /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/log.cc cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/himbaechel/uarch/ng-ultra && /gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/bin/c++ -DARCHNAME=himbaechel -DARCH_HIMBAECHEL -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DNEXTPNR_NAMESPACE=nextpnr_himbaechel -DNO_RUST -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -Dnextpnr_himbaechel_ng_ultra_EXPORTS -I/gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/include/python3.11 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/himbaechel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/common -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/frontend/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/json/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/rust/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/json11/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/place/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/route/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/oourafft/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/himbaechel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui -isystem /gnu/store/r94kayv6y93mj1hgc13k11klha366dsw-eigen-3.4.0/include/eigen3 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtWidgets -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtGui -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtCore -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/lib/qt5/mkspecs/linux-g++ -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -fdiagnostics-color=always -fPIC -MD -MT himbaechel/uarch/ng-ultra/CMakeFiles/nextpnr-himbaechel-ng-ultra.dir/__/__/__/common/kernel/property.cc.o -MF CMakeFiles/nextpnr-himbaechel-ng-ultra.dir/__/__/__/common/kernel/property.cc.o.d -o CMakeFiles/nextpnr-himbaechel-ng-ultra.dir/__/__/__/common/kernel/property.cc.o -c /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/property.cc cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/himbaechel/uarch/ng-ultra && /gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/bin/c++ -DARCHNAME=himbaechel -DARCH_HIMBAECHEL -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DGTEST_LINKED_AS_SHARED_LIBRARY=1 -DNEXTPNR_NAMESPACE=nextpnr_himbaechel -DNO_RUST -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -Dnextpnr_himbaechel_ng_ultra_test_EXPORTS -I/gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/include/python3.11 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/himbaechel/uarch/ng-ultra/gtest_include_dir -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/himbaechel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/common -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/frontend/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/json/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/rust/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/json11/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/place/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/route/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/oourafft/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/himbaechel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui -isystem /gnu/store/r94kayv6y93mj1hgc13k11klha366dsw-eigen-3.4.0/include/eigen3 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtWidgets -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtGui -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtCore -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/lib/qt5/mkspecs/linux-g++ -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -fdiagnostics-color=always -fPIC -MD -MT himbaechel/uarch/ng-ultra/CMakeFiles/nextpnr-himbaechel-ng-ultra-test.dir/__/__/__/common/kernel/nextpnr.cc.o -MF CMakeFiles/nextpnr-himbaechel-ng-ultra-test.dir/__/__/__/common/kernel/nextpnr.cc.o.d -o CMakeFiles/nextpnr-himbaechel-ng-ultra-test.dir/__/__/__/common/kernel/nextpnr.cc.o -c /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/nextpnr.cc cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/himbaechel/uarch/ng-ultra && /gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/bin/c++ -DARCHNAME=himbaechel -DARCH_HIMBAECHEL -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DNEXTPNR_NAMESPACE=nextpnr_himbaechel -DNO_RUST -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -Dnextpnr_himbaechel_ng_ultra_EXPORTS -I/gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/include/python3.11 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/himbaechel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/common -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/frontend/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/json/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/rust/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/json11/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/place/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/route/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/oourafft/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/himbaechel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui -isystem /gnu/store/r94kayv6y93mj1hgc13k11klha366dsw-eigen-3.4.0/include/eigen3 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtWidgets -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtGui -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtCore -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/lib/qt5/mkspecs/linux-g++ -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -fdiagnostics-color=always -fPIC -MD -MT himbaechel/uarch/ng-ultra/CMakeFiles/nextpnr-himbaechel-ng-ultra.dir/__/__/__/common/kernel/pybindings.cc.o -MF CMakeFiles/nextpnr-himbaechel-ng-ultra.dir/__/__/__/common/kernel/pybindings.cc.o.d -o CMakeFiles/nextpnr-himbaechel-ng-ultra.dir/__/__/__/common/kernel/pybindings.cc.o -c /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/pybindings.cc cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/himbaechel/uarch/ng-ultra && /gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/bin/c++ -DARCHNAME=himbaechel -DARCH_HIMBAECHEL -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DGTEST_LINKED_AS_SHARED_LIBRARY=1 -DNEXTPNR_NAMESPACE=nextpnr_himbaechel -DNO_RUST -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -Dnextpnr_himbaechel_ng_ultra_test_EXPORTS -I/gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/include/python3.11 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/himbaechel/uarch/ng-ultra/gtest_include_dir -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/himbaechel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/common -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/frontend/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/json/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/rust/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/json11/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/place/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/route/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/oourafft/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/himbaechel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui -isystem /gnu/store/r94kayv6y93mj1hgc13k11klha366dsw-eigen-3.4.0/include/eigen3 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtWidgets -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtGui -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtCore -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/lib/qt5/mkspecs/linux-g++ -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -fdiagnostics-color=always -fPIC -MD -MT himbaechel/uarch/ng-ultra/CMakeFiles/nextpnr-himbaechel-ng-ultra-test.dir/__/__/__/common/kernel/nextpnr_assertions.cc.o -MF CMakeFiles/nextpnr-himbaechel-ng-ultra-test.dir/__/__/__/common/kernel/nextpnr_assertions.cc.o.d -o CMakeFiles/nextpnr-himbaechel-ng-ultra-test.dir/__/__/__/common/kernel/nextpnr_assertions.cc.o -c /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/nextpnr_assertions.cc [ 80%] Building CXX object himbaechel/uarch/ng-ultra/CMakeFiles/nextpnr-himbaechel-ng-ultra.dir/__/__/__/common/kernel/svg.cc.o [ 80%] Building CXX object himbaechel/uarch/ng-ultra/CMakeFiles/nextpnr-himbaechel-ng-ultra.dir/__/__/__/common/kernel/sdf.cc.o cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/himbaechel/uarch/ng-ultra && /gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/bin/c++ -DARCHNAME=himbaechel -DARCH_HIMBAECHEL -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DNEXTPNR_NAMESPACE=nextpnr_himbaechel -DNO_RUST -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -Dnextpnr_himbaechel_ng_ultra_EXPORTS -I/gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/include/python3.11 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/himbaechel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/common -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/frontend/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/json/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/rust/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/json11/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/place/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/route/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/oourafft/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/himbaechel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui -isystem /gnu/store/r94kayv6y93mj1hgc13k11klha366dsw-eigen-3.4.0/include/eigen3 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtWidgets -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtGui -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtCore -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/lib/qt5/mkspecs/linux-g++ -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -fdiagnostics-color=always -fPIC -MD -MT himbaechel/uarch/ng-ultra/CMakeFiles/nextpnr-himbaechel-ng-ultra.dir/__/__/__/common/kernel/idstringlist.cc.o -MF CMakeFiles/nextpnr-himbaechel-ng-ultra.dir/__/__/__/common/kernel/idstringlist.cc.o.d -o CMakeFiles/nextpnr-himbaechel-ng-ultra.dir/__/__/__/common/kernel/idstringlist.cc.o -c /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/idstringlist.cc [ 80%] Building CXX object himbaechel/uarch/ng-ultra/CMakeFiles/nextpnr-himbaechel-ng-ultra-test.dir/__/__/__/common/kernel/pybindings.cc.o cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/himbaechel/uarch/ng-ultra && /gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/bin/c++ -DARCHNAME=himbaechel -DARCH_HIMBAECHEL -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DGTEST_LINKED_AS_SHARED_LIBRARY=1 -DNEXTPNR_NAMESPACE=nextpnr_himbaechel -DNO_RUST -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -Dnextpnr_himbaechel_ng_ultra_test_EXPORTS -I/gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/include/python3.11 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/himbaechel/uarch/ng-ultra/gtest_include_dir -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/himbaechel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/common -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/frontend/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/json/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/rust/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/json11/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/place/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/route/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/oourafft/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/himbaechel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui -isystem /gnu/store/r94kayv6y93mj1hgc13k11klha366dsw-eigen-3.4.0/include/eigen3 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtWidgets -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtGui -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtCore -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/lib/qt5/mkspecs/linux-g++ -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -fdiagnostics-color=always -fPIC -MD -MT himbaechel/uarch/ng-ultra/CMakeFiles/nextpnr-himbaechel-ng-ultra-test.dir/__/__/__/common/kernel/nextpnr_namespaces.cc.o -MF CMakeFiles/nextpnr-himbaechel-ng-ultra-test.dir/__/__/__/common/kernel/nextpnr_namespaces.cc.o.d -o CMakeFiles/nextpnr-himbaechel-ng-ultra-test.dir/__/__/__/common/kernel/nextpnr_namespaces.cc.o -c /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/nextpnr_namespaces.cc cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/himbaechel/uarch/ng-ultra && /gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/bin/c++ -DARCHNAME=himbaechel -DARCH_HIMBAECHEL -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DNEXTPNR_NAMESPACE=nextpnr_himbaechel -DNO_RUST -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -Dnextpnr_himbaechel_ng_ultra_EXPORTS -I/gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/include/python3.11 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/himbaechel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/common -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/frontend/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/json/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/rust/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/json11/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/place/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/route/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/oourafft/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/himbaechel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui -isystem /gnu/store/r94kayv6y93mj1hgc13k11klha366dsw-eigen-3.4.0/include/eigen3 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtWidgets -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtGui -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtCore -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/lib/qt5/mkspecs/linux-g++ -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -fdiagnostics-color=always -fPIC -MD -MT himbaechel/uarch/ng-ultra/CMakeFiles/nextpnr-himbaechel-ng-ultra.dir/__/__/__/common/kernel/report.cc.o -MF CMakeFiles/nextpnr-himbaechel-ng-ultra.dir/__/__/__/common/kernel/report.cc.o.d -o CMakeFiles/nextpnr-himbaechel-ng-ultra.dir/__/__/__/common/kernel/report.cc.o -c /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/report.cc cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/himbaechel/uarch/ng-ultra && /gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/bin/c++ -DARCHNAME=himbaechel -DARCH_HIMBAECHEL -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DGTEST_LINKED_AS_SHARED_LIBRARY=1 -DNEXTPNR_NAMESPACE=nextpnr_himbaechel -DNO_RUST -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -Dnextpnr_himbaechel_ng_ultra_test_EXPORTS -I/gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/include/python3.11 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/himbaechel/uarch/ng-ultra/gtest_include_dir -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/himbaechel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/common -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/frontend/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/json/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/rust/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/json11/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/place/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/route/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/oourafft/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/himbaechel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui -isystem /gnu/store/r94kayv6y93mj1hgc13k11klha366dsw-eigen-3.4.0/include/eigen3 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtWidgets -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtGui -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtCore -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/lib/qt5/mkspecs/linux-g++ -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -fdiagnostics-color=always -fPIC -MD -MT himbaechel/uarch/ng-ultra/CMakeFiles/nextpnr-himbaechel-ng-ultra-test.dir/__/__/__/common/kernel/nextpnr_types.cc.o -MF CMakeFiles/nextpnr-himbaechel-ng-ultra-test.dir/__/__/__/common/kernel/nextpnr_types.cc.o.d -o CMakeFiles/nextpnr-himbaechel-ng-ultra-test.dir/__/__/__/common/kernel/nextpnr_types.cc.o -c /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/nextpnr_types.cc cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/himbaechel/uarch/ng-ultra && /gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/bin/c++ -DARCHNAME=himbaechel -DARCH_HIMBAECHEL -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DNEXTPNR_NAMESPACE=nextpnr_himbaechel -DNO_RUST -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -Dnextpnr_himbaechel_ng_ultra_EXPORTS -I/gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/include/python3.11 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/himbaechel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/common -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/frontend/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/json/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/rust/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/json11/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/place/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/route/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/oourafft/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/himbaechel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui -isystem /gnu/store/r94kayv6y93mj1hgc13k11klha366dsw-eigen-3.4.0/include/eigen3 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtWidgets -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtGui -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtCore -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/lib/qt5/mkspecs/linux-g++ -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -fdiagnostics-color=always -fPIC -MD -MT himbaechel/uarch/ng-ultra/CMakeFiles/nextpnr-himbaechel-ng-ultra.dir/__/__/__/common/kernel/sdc.cc.o -MF CMakeFiles/nextpnr-himbaechel-ng-ultra.dir/__/__/__/common/kernel/sdc.cc.o.d -o CMakeFiles/nextpnr-himbaechel-ng-ultra.dir/__/__/__/common/kernel/sdc.cc.o -c /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/sdc.cc cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/himbaechel/uarch/ng-ultra && /gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/bin/c++ -DARCHNAME=himbaechel -DARCH_HIMBAECHEL -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DGTEST_LINKED_AS_SHARED_LIBRARY=1 -DNEXTPNR_NAMESPACE=nextpnr_himbaechel -DNO_RUST -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -Dnextpnr_himbaechel_ng_ultra_test_EXPORTS -I/gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/include/python3.11 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/himbaechel/uarch/ng-ultra/gtest_include_dir -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/himbaechel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/common -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/frontend/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/json/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/rust/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/json11/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/place/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/route/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/oourafft/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/himbaechel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui -isystem /gnu/store/r94kayv6y93mj1hgc13k11klha366dsw-eigen-3.4.0/include/eigen3 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtWidgets -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtGui -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtCore -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/lib/qt5/mkspecs/linux-g++ -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -fdiagnostics-color=always -fPIC -MD -MT himbaechel/uarch/ng-ultra/CMakeFiles/nextpnr-himbaechel-ng-ultra-test.dir/__/__/__/common/kernel/property.cc.o -MF CMakeFiles/nextpnr-himbaechel-ng-ultra-test.dir/__/__/__/common/kernel/property.cc.o.d -o CMakeFiles/nextpnr-himbaechel-ng-ultra-test.dir/__/__/__/common/kernel/property.cc.o -c /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/property.cc cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/himbaechel/uarch/ng-ultra && /gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/bin/c++ -DARCHNAME=himbaechel -DARCH_HIMBAECHEL -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DNEXTPNR_NAMESPACE=nextpnr_himbaechel -DNO_RUST -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -Dnextpnr_himbaechel_ng_ultra_EXPORTS -I/gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/include/python3.11 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/himbaechel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/common -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/frontend/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/json/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/rust/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/json11/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/place/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/route/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/oourafft/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/himbaechel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui -isystem /gnu/store/r94kayv6y93mj1hgc13k11klha366dsw-eigen-3.4.0/include/eigen3 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtWidgets -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtGui -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtCore -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/lib/qt5/mkspecs/linux-g++ -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -fdiagnostics-color=always -fPIC -MD -MT himbaechel/uarch/ng-ultra/CMakeFiles/nextpnr-himbaechel-ng-ultra.dir/__/__/__/common/kernel/sdf.cc.o -MF CMakeFiles/nextpnr-himbaechel-ng-ultra.dir/__/__/__/common/kernel/sdf.cc.o.d -o CMakeFiles/nextpnr-himbaechel-ng-ultra.dir/__/__/__/common/kernel/sdf.cc.o -c /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/sdf.cc cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/himbaechel/uarch/ng-ultra && /gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/bin/c++ -DARCHNAME=himbaechel -DARCH_HIMBAECHEL -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DNEXTPNR_NAMESPACE=nextpnr_himbaechel -DNO_RUST -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -Dnextpnr_himbaechel_ng_ultra_EXPORTS -I/gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/include/python3.11 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/himbaechel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/common -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/frontend/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/json/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/rust/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/json11/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/place/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/route/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/oourafft/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/himbaechel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui -isystem /gnu/store/r94kayv6y93mj1hgc13k11klha366dsw-eigen-3.4.0/include/eigen3 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtWidgets -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtGui -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtCore -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/lib/qt5/mkspecs/linux-g++ -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -fdiagnostics-color=always -fPIC -MD -MT himbaechel/uarch/ng-ultra/CMakeFiles/nextpnr-himbaechel-ng-ultra.dir/__/__/__/common/kernel/str_ring_buffer.cc.o -MF CMakeFiles/nextpnr-himbaechel-ng-ultra.dir/__/__/__/common/kernel/str_ring_buffer.cc.o.d -o CMakeFiles/nextpnr-himbaechel-ng-ultra.dir/__/__/__/common/kernel/str_ring_buffer.cc.o -c /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/str_ring_buffer.cc cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/himbaechel/uarch/ng-ultra && /gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/bin/c++ -DARCHNAME=himbaechel -DARCH_HIMBAECHEL -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DNEXTPNR_NAMESPACE=nextpnr_himbaechel -DNO_RUST -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -Dnextpnr_himbaechel_ng_ultra_EXPORTS -I/gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/include/python3.11 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/himbaechel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/common -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/frontend/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/json/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/rust/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/json11/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/place/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/route/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/oourafft/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/himbaechel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui -isystem /gnu/store/r94kayv6y93mj1hgc13k11klha366dsw-eigen-3.4.0/include/eigen3 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtWidgets -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtGui -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtCore -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/lib/qt5/mkspecs/linux-g++ -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -fdiagnostics-color=always -fPIC -MD -MT himbaechel/uarch/ng-ultra/CMakeFiles/nextpnr-himbaechel-ng-ultra.dir/__/__/__/common/kernel/svg.cc.o -MF CMakeFiles/nextpnr-himbaechel-ng-ultra.dir/__/__/__/common/kernel/svg.cc.o.d -o CMakeFiles/nextpnr-himbaechel-ng-ultra.dir/__/__/__/common/kernel/svg.cc.o -c /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/svg.cc cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/himbaechel/uarch/ng-ultra && /gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/bin/c++ -DARCHNAME=himbaechel -DARCH_HIMBAECHEL -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DGTEST_LINKED_AS_SHARED_LIBRARY=1 -DNEXTPNR_NAMESPACE=nextpnr_himbaechel -DNO_RUST -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -Dnextpnr_himbaechel_ng_ultra_test_EXPORTS -I/gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/include/python3.11 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/himbaechel/uarch/ng-ultra/gtest_include_dir -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/himbaechel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/common -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/frontend/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/json/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/rust/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/json11/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/place/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/route/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/oourafft/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/himbaechel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui -isystem /gnu/store/r94kayv6y93mj1hgc13k11klha366dsw-eigen-3.4.0/include/eigen3 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtWidgets -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtGui -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtCore -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/lib/qt5/mkspecs/linux-g++ -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -fdiagnostics-color=always -fPIC -MD -MT himbaechel/uarch/ng-ultra/CMakeFiles/nextpnr-himbaechel-ng-ultra-test.dir/__/__/__/common/kernel/pybindings.cc.o -MF CMakeFiles/nextpnr-himbaechel-ng-ultra-test.dir/__/__/__/common/kernel/pybindings.cc.o.d -o CMakeFiles/nextpnr-himbaechel-ng-ultra-test.dir/__/__/__/common/kernel/pybindings.cc.o -c /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/pybindings.cc [ 80%] Building CXX object himbaechel/uarch/ng-ultra/CMakeFiles/nextpnr-himbaechel-ng-ultra.dir/__/__/__/common/kernel/timing.cc.o [ 80%] Building CXX object himbaechel/uarch/ng-ultra/CMakeFiles/nextpnr-himbaechel-ng-ultra-test.dir/__/__/__/common/kernel/report.cc.o [ 81%] Building CXX object himbaechel/uarch/ng-ultra/CMakeFiles/nextpnr-himbaechel-ng-ultra-test.dir/__/__/__/common/kernel/sdf.cc.o [ 81%] Building CXX object himbaechel/uarch/ng-ultra/CMakeFiles/nextpnr-himbaechel-ng-ultra.dir/__/__/__/common/kernel/timing_log.cc.o cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/himbaechel/uarch/ng-ultra && /gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/bin/c++ -DARCHNAME=himbaechel -DARCH_HIMBAECHEL -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DGTEST_LINKED_AS_SHARED_LIBRARY=1 -DNEXTPNR_NAMESPACE=nextpnr_himbaechel -DNO_RUST -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -Dnextpnr_himbaechel_ng_ultra_test_EXPORTS -I/gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/include/python3.11 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/himbaechel/uarch/ng-ultra/gtest_include_dir -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/himbaechel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/common -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/frontend/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/json/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/rust/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/json11/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/place/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/route/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/oourafft/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/himbaechel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui -isystem /gnu/store/r94kayv6y93mj1hgc13k11klha366dsw-eigen-3.4.0/include/eigen3 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtWidgets -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtGui -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtCore -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/lib/qt5/mkspecs/linux-g++ -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -fdiagnostics-color=always -fPIC -MD -MT himbaechel/uarch/ng-ultra/CMakeFiles/nextpnr-himbaechel-ng-ultra-test.dir/__/__/__/common/kernel/report.cc.o -MF CMakeFiles/nextpnr-himbaechel-ng-ultra-test.dir/__/__/__/common/kernel/report.cc.o.d -o CMakeFiles/nextpnr-himbaechel-ng-ultra-test.dir/__/__/__/common/kernel/report.cc.o -c /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/report.cc cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/himbaechel/uarch/ng-ultra && /gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/bin/c++ -DARCHNAME=himbaechel -DARCH_HIMBAECHEL -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DNEXTPNR_NAMESPACE=nextpnr_himbaechel -DNO_RUST -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -Dnextpnr_himbaechel_ng_ultra_EXPORTS -I/gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/include/python3.11 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/himbaechel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/common -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/frontend/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/json/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/rust/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/json11/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/place/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/route/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/oourafft/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/himbaechel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui -isystem /gnu/store/r94kayv6y93mj1hgc13k11klha366dsw-eigen-3.4.0/include/eigen3 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtWidgets -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtGui -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtCore -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/lib/qt5/mkspecs/linux-g++ -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -fdiagnostics-color=always -fPIC -MD -MT himbaechel/uarch/ng-ultra/CMakeFiles/nextpnr-himbaechel-ng-ultra.dir/__/__/__/common/kernel/timing.cc.o -MF CMakeFiles/nextpnr-himbaechel-ng-ultra.dir/__/__/__/common/kernel/timing.cc.o.d -o CMakeFiles/nextpnr-himbaechel-ng-ultra.dir/__/__/__/common/kernel/timing.cc.o -c /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/timing.cc cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/himbaechel/uarch/ng-ultra && /gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/bin/c++ -DARCHNAME=himbaechel -DARCH_HIMBAECHEL -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DGTEST_LINKED_AS_SHARED_LIBRARY=1 -DNEXTPNR_NAMESPACE=nextpnr_himbaechel -DNO_RUST -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -Dnextpnr_himbaechel_ng_ultra_test_EXPORTS -I/gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/include/python3.11 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/himbaechel/uarch/ng-ultra/gtest_include_dir -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/himbaechel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/common -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/frontend/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/json/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/rust/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/json11/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/place/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/route/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/oourafft/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/himbaechel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui -isystem /gnu/store/r94kayv6y93mj1hgc13k11klha366dsw-eigen-3.4.0/include/eigen3 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtWidgets -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtGui -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtCore -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/lib/qt5/mkspecs/linux-g++ -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -fdiagnostics-color=always -fPIC -MD -MT himbaechel/uarch/ng-ultra/CMakeFiles/nextpnr-himbaechel-ng-ultra-test.dir/__/__/__/common/kernel/sdf.cc.o -MF CMakeFiles/nextpnr-himbaechel-ng-ultra-test.dir/__/__/__/common/kernel/sdf.cc.o.d -o CMakeFiles/nextpnr-himbaechel-ng-ultra-test.dir/__/__/__/common/kernel/sdf.cc.o -c /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/sdf.cc [ 81%] Building CXX object himbaechel/uarch/ng-ultra/CMakeFiles/nextpnr-himbaechel-ng-ultra-test.dir/__/__/__/common/kernel/sdc.cc.o cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/himbaechel/uarch/ng-ultra && /gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/bin/c++ -DARCHNAME=himbaechel -DARCH_HIMBAECHEL -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DNEXTPNR_NAMESPACE=nextpnr_himbaechel -DNO_RUST -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -Dnextpnr_himbaechel_ng_ultra_EXPORTS -I/gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/include/python3.11 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/himbaechel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/common -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/frontend/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/json/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/rust/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/json11/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/place/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/route/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/oourafft/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/himbaechel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui -isystem /gnu/store/r94kayv6y93mj1hgc13k11klha366dsw-eigen-3.4.0/include/eigen3 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtWidgets -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtGui -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtCore -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/lib/qt5/mkspecs/linux-g++ -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -fdiagnostics-color=always -fPIC -MD -MT himbaechel/uarch/ng-ultra/CMakeFiles/nextpnr-himbaechel-ng-ultra.dir/__/__/__/common/kernel/timing_log.cc.o -MF CMakeFiles/nextpnr-himbaechel-ng-ultra.dir/__/__/__/common/kernel/timing_log.cc.o.d -o CMakeFiles/nextpnr-himbaechel-ng-ultra.dir/__/__/__/common/kernel/timing_log.cc.o -c /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/timing_log.cc cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/himbaechel/uarch/ng-ultra && /gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/bin/c++ -DARCHNAME=himbaechel -DARCH_HIMBAECHEL -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DGTEST_LINKED_AS_SHARED_LIBRARY=1 -DNEXTPNR_NAMESPACE=nextpnr_himbaechel -DNO_RUST -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -Dnextpnr_himbaechel_ng_ultra_test_EXPORTS -I/gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/include/python3.11 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/himbaechel/uarch/ng-ultra/gtest_include_dir -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/himbaechel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/common -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/frontend/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/json/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/rust/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/json11/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/place/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/route/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/oourafft/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/himbaechel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui -isystem /gnu/store/r94kayv6y93mj1hgc13k11klha366dsw-eigen-3.4.0/include/eigen3 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtWidgets -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtGui -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtCore -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/lib/qt5/mkspecs/linux-g++ -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -fdiagnostics-color=always -fPIC -MD -MT himbaechel/uarch/ng-ultra/CMakeFiles/nextpnr-himbaechel-ng-ultra-test.dir/__/__/__/common/kernel/sdc.cc.o -MF CMakeFiles/nextpnr-himbaechel-ng-ultra-test.dir/__/__/__/common/kernel/sdc.cc.o.d -o CMakeFiles/nextpnr-himbaechel-ng-ultra-test.dir/__/__/__/common/kernel/sdc.cc.o -c /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/sdc.cc [ 81%] Building CXX object himbaechel/uarch/ng-ultra/CMakeFiles/nextpnr-himbaechel-ng-ultra-test.dir/__/__/__/common/kernel/svg.cc.o [ 81%] Building CXX object himbaechel/uarch/ng-ultra/CMakeFiles/nextpnr-himbaechel-ng-ultra-test.dir/__/__/__/common/kernel/str_ring_buffer.cc.o [ 81%] Building CXX object himbaechel/uarch/ng-ultra/CMakeFiles/nextpnr-himbaechel-ng-ultra-test.dir/__/__/__/common/kernel/timing.cc.o [ 81%] Building CXX object himbaechel/uarch/ng-ultra/CMakeFiles/nextpnr-himbaechel-ng-ultra.dir/__/__/__/json/jsonwrite.cc.o [ 81%] Building CXX object himbaechel/uarch/ng-ultra/CMakeFiles/nextpnr-himbaechel-ng-ultra.dir/__/__/__/common/place/detail_place_core.cc.o [ 81%] Building CXX object himbaechel/uarch/ng-ultra/CMakeFiles/nextpnr-himbaechel-ng-ultra.dir/__/__/__/frontend/json_frontend.cc.o [ 81%] Building CXX object himbaechel/uarch/ng-ultra/CMakeFiles/nextpnr-himbaechel-ng-ultra-test.dir/__/__/__/json/jsonwrite.cc.o [ 81%] Building CXX object himbaechel/uarch/ng-ultra/CMakeFiles/nextpnr-himbaechel-ng-ultra-test.dir/__/__/__/frontend/json_frontend.cc.o [ 81%] Building CXX object himbaechel/uarch/ng-ultra/CMakeFiles/nextpnr-himbaechel-ng-ultra.dir/__/__/__/common/place/place_common.cc.o [ 81%] Building CXX object himbaechel/uarch/ng-ultra/CMakeFiles/nextpnr-himbaechel-ng-ultra-test.dir/__/__/__/common/kernel/timing_log.cc.o [ 82%] Building CXX object himbaechel/uarch/ng-ultra/CMakeFiles/nextpnr-himbaechel-ng-ultra.dir/__/__/__/common/place/placer1.cc.o [ 82%] Building CXX object himbaechel/uarch/ng-ultra/CMakeFiles/nextpnr-himbaechel-ng-ultra.dir/__/__/__/common/place/parallel_refine.cc.o cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/himbaechel/uarch/ng-ultra && /gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/bin/c++ -DARCHNAME=himbaechel -DARCH_HIMBAECHEL -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DGTEST_LINKED_AS_SHARED_LIBRARY=1 -DNEXTPNR_NAMESPACE=nextpnr_himbaechel -DNO_RUST -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -Dnextpnr_himbaechel_ng_ultra_test_EXPORTS -I/gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/include/python3.11 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/himbaechel/uarch/ng-ultra/gtest_include_dir -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/himbaechel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/common -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/frontend/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/json/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/rust/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/json11/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/place/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/route/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/oourafft/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/himbaechel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui -isystem /gnu/store/r94kayv6y93mj1hgc13k11klha366dsw-eigen-3.4.0/include/eigen3 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtWidgets -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtGui -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtCore -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/lib/qt5/mkspecs/linux-g++ -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -fdiagnostics-color=always -fPIC -MD -MT himbaechel/uarch/ng-ultra/CMakeFiles/nextpnr-himbaechel-ng-ultra-test.dir/__/__/__/common/kernel/str_ring_buffer.cc.o -MF CMakeFiles/nextpnr-himbaechel-ng-ultra-test.dir/__/__/__/common/kernel/str_ring_buffer.cc.o.d -o CMakeFiles/nextpnr-himbaechel-ng-ultra-test.dir/__/__/__/common/kernel/str_ring_buffer.cc.o -c /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/str_ring_buffer.cc [ 82%] Building CXX object himbaechel/uarch/ng-ultra/CMakeFiles/nextpnr-himbaechel-ng-ultra-test.dir/__/__/__/common/place/detail_place_core.cc.o [ 82%] Building CXX object himbaechel/uarch/ng-ultra/CMakeFiles/nextpnr-himbaechel-ng-ultra.dir/__/__/__/common/place/placer_heap.cc.o cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/himbaechel/uarch/ng-ultra && /gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/bin/c++ -DARCHNAME=himbaechel -DARCH_HIMBAECHEL -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DNEXTPNR_NAMESPACE=nextpnr_himbaechel -DNO_RUST -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -Dnextpnr_himbaechel_ng_ultra_EXPORTS -I/gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/include/python3.11 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/himbaechel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/common -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/frontend/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/json/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/rust/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/json11/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/place/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/route/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/oourafft/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/himbaechel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui -isystem /gnu/store/r94kayv6y93mj1hgc13k11klha366dsw-eigen-3.4.0/include/eigen3 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtWidgets -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtGui -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtCore -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/lib/qt5/mkspecs/linux-g++ -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -fdiagnostics-color=always -fPIC -MD -MT himbaechel/uarch/ng-ultra/CMakeFiles/nextpnr-himbaechel-ng-ultra.dir/__/__/__/json/jsonwrite.cc.o -MF CMakeFiles/nextpnr-himbaechel-ng-ultra.dir/__/__/__/json/jsonwrite.cc.o.d -o CMakeFiles/nextpnr-himbaechel-ng-ultra.dir/__/__/__/json/jsonwrite.cc.o -c /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/json/jsonwrite.cc cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/himbaechel/uarch/ng-ultra && /gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/bin/c++ -DARCHNAME=himbaechel -DARCH_HIMBAECHEL -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DNEXTPNR_NAMESPACE=nextpnr_himbaechel -DNO_RUST -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -Dnextpnr_himbaechel_ng_ultra_EXPORTS -I/gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/include/python3.11 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/himbaechel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/common -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/frontend/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/json/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/rust/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/json11/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/place/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/route/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/oourafft/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/himbaechel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui -isystem /gnu/store/r94kayv6y93mj1hgc13k11klha366dsw-eigen-3.4.0/include/eigen3 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtWidgets -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtGui -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtCore -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/lib/qt5/mkspecs/linux-g++ -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -fdiagnostics-color=always -fPIC -MD -MT himbaechel/uarch/ng-ultra/CMakeFiles/nextpnr-himbaechel-ng-ultra.dir/__/__/__/frontend/json_frontend.cc.o -MF CMakeFiles/nextpnr-himbaechel-ng-ultra.dir/__/__/__/frontend/json_frontend.cc.o.d -o CMakeFiles/nextpnr-himbaechel-ng-ultra.dir/__/__/__/frontend/json_frontend.cc.o -c /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/frontend/json_frontend.cc [ 82%] Building CXX object himbaechel/uarch/ng-ultra/CMakeFiles/nextpnr-himbaechel-ng-ultra.dir/__/__/__/common/place/placer_static.cc.o cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/himbaechel/uarch/ng-ultra && /gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/bin/c++ -DARCHNAME=himbaechel -DARCH_HIMBAECHEL -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DNEXTPNR_NAMESPACE=nextpnr_himbaechel -DNO_RUST -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -Dnextpnr_himbaechel_ng_ultra_EXPORTS -I/gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/include/python3.11 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/himbaechel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/common -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/frontend/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/json/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/rust/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/json11/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/place/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/route/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/oourafft/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/himbaechel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui -isystem /gnu/store/r94kayv6y93mj1hgc13k11klha366dsw-eigen-3.4.0/include/eigen3 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtWidgets -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtGui -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtCore -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/lib/qt5/mkspecs/linux-g++ -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -fdiagnostics-color=always -fPIC -MD -MT himbaechel/uarch/ng-ultra/CMakeFiles/nextpnr-himbaechel-ng-ultra.dir/__/__/__/common/place/detail_place_core.cc.o -MF CMakeFiles/nextpnr-himbaechel-ng-ultra.dir/__/__/__/common/place/detail_place_core.cc.o.d -o CMakeFiles/nextpnr-himbaechel-ng-ultra.dir/__/__/__/common/place/detail_place_core.cc.o -c /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/place/detail_place_core.cc cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/himbaechel/uarch/ng-ultra && /gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/bin/c++ -DARCHNAME=himbaechel -DARCH_HIMBAECHEL -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DNEXTPNR_NAMESPACE=nextpnr_himbaechel -DNO_RUST -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -Dnextpnr_himbaechel_ng_ultra_EXPORTS -I/gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/include/python3.11 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/himbaechel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/common -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/frontend/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/json/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/rust/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/json11/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/place/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/route/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/oourafft/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/himbaechel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui -isystem /gnu/store/r94kayv6y93mj1hgc13k11klha366dsw-eigen-3.4.0/include/eigen3 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtWidgets -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtGui -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtCore -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/lib/qt5/mkspecs/linux-g++ -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -fdiagnostics-color=always -fPIC -MD -MT himbaechel/uarch/ng-ultra/CMakeFiles/nextpnr-himbaechel-ng-ultra.dir/__/__/__/common/place/parallel_refine.cc.o -MF CMakeFiles/nextpnr-himbaechel-ng-ultra.dir/__/__/__/common/place/parallel_refine.cc.o.d -o CMakeFiles/nextpnr-himbaechel-ng-ultra.dir/__/__/__/common/place/parallel_refine.cc.o -c /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/place/parallel_refine.cc cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/himbaechel/uarch/ng-ultra && /gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/bin/c++ -DARCHNAME=himbaechel -DARCH_HIMBAECHEL -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DNEXTPNR_NAMESPACE=nextpnr_himbaechel -DNO_RUST -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -Dnextpnr_himbaechel_ng_ultra_EXPORTS -I/gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/include/python3.11 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/himbaechel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/common -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/frontend/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/json/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/rust/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/json11/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/place/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/route/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/oourafft/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/himbaechel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui -isystem /gnu/store/r94kayv6y93mj1hgc13k11klha366dsw-eigen-3.4.0/include/eigen3 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtWidgets -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtGui -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtCore -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/lib/qt5/mkspecs/linux-g++ -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -fdiagnostics-color=always -fPIC -MD -MT himbaechel/uarch/ng-ultra/CMakeFiles/nextpnr-himbaechel-ng-ultra.dir/__/__/__/common/place/place_common.cc.o -MF CMakeFiles/nextpnr-himbaechel-ng-ultra.dir/__/__/__/common/place/place_common.cc.o.d -o CMakeFiles/nextpnr-himbaechel-ng-ultra.dir/__/__/__/common/place/place_common.cc.o -c /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/place/place_common.cc [ 82%] Building CXX object himbaechel/uarch/ng-ultra/CMakeFiles/nextpnr-himbaechel-ng-ultra.dir/__/__/__/common/place/timing_opt.cc.o cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/himbaechel/uarch/ng-ultra && /gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/bin/c++ -DARCHNAME=himbaechel -DARCH_HIMBAECHEL -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DNEXTPNR_NAMESPACE=nextpnr_himbaechel -DNO_RUST -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -Dnextpnr_himbaechel_ng_ultra_EXPORTS -I/gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/include/python3.11 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/himbaechel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/common -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/frontend/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/json/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/rust/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/json11/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/place/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/route/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/oourafft/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/himbaechel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui -isystem /gnu/store/r94kayv6y93mj1hgc13k11klha366dsw-eigen-3.4.0/include/eigen3 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtWidgets -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtGui -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtCore -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/lib/qt5/mkspecs/linux-g++ -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -fdiagnostics-color=always -fPIC -MD -MT himbaechel/uarch/ng-ultra/CMakeFiles/nextpnr-himbaechel-ng-ultra.dir/__/__/__/common/place/placer1.cc.o -MF CMakeFiles/nextpnr-himbaechel-ng-ultra.dir/__/__/__/common/place/placer1.cc.o.d -o CMakeFiles/nextpnr-himbaechel-ng-ultra.dir/__/__/__/common/place/placer1.cc.o -c /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/place/placer1.cc cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/himbaechel/uarch/ng-ultra && /gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/bin/c++ -DARCHNAME=himbaechel -DARCH_HIMBAECHEL -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DGTEST_LINKED_AS_SHARED_LIBRARY=1 -DNEXTPNR_NAMESPACE=nextpnr_himbaechel -DNO_RUST -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -Dnextpnr_himbaechel_ng_ultra_test_EXPORTS -I/gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/include/python3.11 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/himbaechel/uarch/ng-ultra/gtest_include_dir -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/himbaechel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/common -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/frontend/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/json/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/rust/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/json11/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/place/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/route/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/oourafft/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/himbaechel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui -isystem /gnu/store/r94kayv6y93mj1hgc13k11klha366dsw-eigen-3.4.0/include/eigen3 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtWidgets -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtGui -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtCore -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/lib/qt5/mkspecs/linux-g++ -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -fdiagnostics-color=always -fPIC -MD -MT himbaechel/uarch/ng-ultra/CMakeFiles/nextpnr-himbaechel-ng-ultra-test.dir/__/__/__/common/kernel/svg.cc.o -MF CMakeFiles/nextpnr-himbaechel-ng-ultra-test.dir/__/__/__/common/kernel/svg.cc.o.d -o CMakeFiles/nextpnr-himbaechel-ng-ultra-test.dir/__/__/__/common/kernel/svg.cc.o -c /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/svg.cc cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/himbaechel/uarch/ng-ultra && /gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/bin/c++ -DARCHNAME=himbaechel -DARCH_HIMBAECHEL -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DGTEST_LINKED_AS_SHARED_LIBRARY=1 -DNEXTPNR_NAMESPACE=nextpnr_himbaechel -DNO_RUST -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -Dnextpnr_himbaechel_ng_ultra_test_EXPORTS -I/gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/include/python3.11 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/himbaechel/uarch/ng-ultra/gtest_include_dir -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/himbaechel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/common -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/frontend/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/json/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/rust/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/json11/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/place/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/route/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/oourafft/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/himbaechel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui -isystem /gnu/store/r94kayv6y93mj1hgc13k11klha366dsw-eigen-3.4.0/include/eigen3 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtWidgets -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtGui -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtCore -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/lib/qt5/mkspecs/linux-g++ -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -fdiagnostics-color=always -fPIC -MD -MT himbaechel/uarch/ng-ultra/CMakeFiles/nextpnr-himbaechel-ng-ultra-test.dir/__/__/__/common/kernel/timing.cc.o -MF CMakeFiles/nextpnr-himbaechel-ng-ultra-test.dir/__/__/__/common/kernel/timing.cc.o.d -o CMakeFiles/nextpnr-himbaechel-ng-ultra-test.dir/__/__/__/common/kernel/timing.cc.o -c /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/timing.cc cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/himbaechel/uarch/ng-ultra && /gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/bin/c++ -DARCHNAME=himbaechel -DARCH_HIMBAECHEL -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DNEXTPNR_NAMESPACE=nextpnr_himbaechel -DNO_RUST -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -Dnextpnr_himbaechel_ng_ultra_EXPORTS -I/gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/include/python3.11 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/himbaechel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/common -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/frontend/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/json/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/rust/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/json11/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/place/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/route/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/oourafft/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/himbaechel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui -isystem /gnu/store/r94kayv6y93mj1hgc13k11klha366dsw-eigen-3.4.0/include/eigen3 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtWidgets -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtGui -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtCore -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/lib/qt5/mkspecs/linux-g++ -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -fdiagnostics-color=always -fPIC -MD -MT himbaechel/uarch/ng-ultra/CMakeFiles/nextpnr-himbaechel-ng-ultra.dir/__/__/__/common/place/placer_heap.cc.o -MF CMakeFiles/nextpnr-himbaechel-ng-ultra.dir/__/__/__/common/place/placer_heap.cc.o.d -o CMakeFiles/nextpnr-himbaechel-ng-ultra.dir/__/__/__/common/place/placer_heap.cc.o -c /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/place/placer_heap.cc cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/himbaechel/uarch/ng-ultra && /gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/bin/c++ -DARCHNAME=himbaechel -DARCH_HIMBAECHEL -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DGTEST_LINKED_AS_SHARED_LIBRARY=1 -DNEXTPNR_NAMESPACE=nextpnr_himbaechel -DNO_RUST -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -Dnextpnr_himbaechel_ng_ultra_test_EXPORTS -I/gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/include/python3.11 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/himbaechel/uarch/ng-ultra/gtest_include_dir -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/himbaechel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/common -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/frontend/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/json/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/rust/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/json11/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/place/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/route/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/oourafft/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/himbaechel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui -isystem /gnu/store/r94kayv6y93mj1hgc13k11klha366dsw-eigen-3.4.0/include/eigen3 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtWidgets -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtGui -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtCore -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/lib/qt5/mkspecs/linux-g++ -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -fdiagnostics-color=always -fPIC -MD -MT himbaechel/uarch/ng-ultra/CMakeFiles/nextpnr-himbaechel-ng-ultra-test.dir/__/__/__/common/kernel/timing_log.cc.o -MF CMakeFiles/nextpnr-himbaechel-ng-ultra-test.dir/__/__/__/common/kernel/timing_log.cc.o.d -o CMakeFiles/nextpnr-himbaechel-ng-ultra-test.dir/__/__/__/common/kernel/timing_log.cc.o -c /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/timing_log.cc cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/himbaechel/uarch/ng-ultra && /gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/bin/c++ -DARCHNAME=himbaechel -DARCH_HIMBAECHEL -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DNEXTPNR_NAMESPACE=nextpnr_himbaechel -DNO_RUST -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -Dnextpnr_himbaechel_ng_ultra_EXPORTS -I/gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/include/python3.11 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/himbaechel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/common -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/frontend/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/json/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/rust/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/json11/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/place/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/route/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/oourafft/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/himbaechel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui -isystem /gnu/store/r94kayv6y93mj1hgc13k11klha366dsw-eigen-3.4.0/include/eigen3 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtWidgets -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtGui -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtCore -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/lib/qt5/mkspecs/linux-g++ -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -fdiagnostics-color=always -fPIC -MD -MT himbaechel/uarch/ng-ultra/CMakeFiles/nextpnr-himbaechel-ng-ultra.dir/__/__/__/common/place/placer_static.cc.o -MF CMakeFiles/nextpnr-himbaechel-ng-ultra.dir/__/__/__/common/place/placer_static.cc.o.d -o CMakeFiles/nextpnr-himbaechel-ng-ultra.dir/__/__/__/common/place/placer_static.cc.o -c /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/place/placer_static.cc cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/himbaechel/uarch/ng-ultra && /gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/bin/c++ -DARCHNAME=himbaechel -DARCH_HIMBAECHEL -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DGTEST_LINKED_AS_SHARED_LIBRARY=1 -DNEXTPNR_NAMESPACE=nextpnr_himbaechel -DNO_RUST -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -Dnextpnr_himbaechel_ng_ultra_test_EXPORTS -I/gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/include/python3.11 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/himbaechel/uarch/ng-ultra/gtest_include_dir -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/himbaechel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/common -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/frontend/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/json/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/rust/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/json11/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/place/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/route/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/oourafft/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/himbaechel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui -isystem /gnu/store/r94kayv6y93mj1hgc13k11klha366dsw-eigen-3.4.0/include/eigen3 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtWidgets -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtGui -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtCore -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/lib/qt5/mkspecs/linux-g++ -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -fdiagnostics-color=always -fPIC -MD -MT himbaechel/uarch/ng-ultra/CMakeFiles/nextpnr-himbaechel-ng-ultra-test.dir/__/__/__/frontend/json_frontend.cc.o -MF CMakeFiles/nextpnr-himbaechel-ng-ultra-test.dir/__/__/__/frontend/json_frontend.cc.o.d -o CMakeFiles/nextpnr-himbaechel-ng-ultra-test.dir/__/__/__/frontend/json_frontend.cc.o -c /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/frontend/json_frontend.cc cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/himbaechel/uarch/ng-ultra && /gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/bin/c++ -DARCHNAME=himbaechel -DARCH_HIMBAECHEL -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DGTEST_LINKED_AS_SHARED_LIBRARY=1 -DNEXTPNR_NAMESPACE=nextpnr_himbaechel -DNO_RUST -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -Dnextpnr_himbaechel_ng_ultra_test_EXPORTS -I/gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/include/python3.11 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/himbaechel/uarch/ng-ultra/gtest_include_dir -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/himbaechel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/common -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/frontend/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/json/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/rust/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/json11/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/place/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/route/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/oourafft/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/himbaechel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui -isystem /gnu/store/r94kayv6y93mj1hgc13k11klha366dsw-eigen-3.4.0/include/eigen3 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtWidgets -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtGui -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtCore -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/lib/qt5/mkspecs/linux-g++ -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -fdiagnostics-color=always -fPIC -MD -MT himbaechel/uarch/ng-ultra/CMakeFiles/nextpnr-himbaechel-ng-ultra-test.dir/__/__/__/json/jsonwrite.cc.o -MF CMakeFiles/nextpnr-himbaechel-ng-ultra-test.dir/__/__/__/json/jsonwrite.cc.o.d -o CMakeFiles/nextpnr-himbaechel-ng-ultra-test.dir/__/__/__/json/jsonwrite.cc.o -c /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/json/jsonwrite.cc cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/himbaechel/uarch/ng-ultra && /gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/bin/c++ -DARCHNAME=himbaechel -DARCH_HIMBAECHEL -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DNEXTPNR_NAMESPACE=nextpnr_himbaechel -DNO_RUST -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -Dnextpnr_himbaechel_ng_ultra_EXPORTS -I/gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/include/python3.11 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/himbaechel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/common -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/frontend/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/json/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/rust/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/json11/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/place/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/route/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/oourafft/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/himbaechel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui -isystem /gnu/store/r94kayv6y93mj1hgc13k11klha366dsw-eigen-3.4.0/include/eigen3 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtWidgets -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtGui -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtCore -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/lib/qt5/mkspecs/linux-g++ -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -fdiagnostics-color=always -fPIC -MD -MT himbaechel/uarch/ng-ultra/CMakeFiles/nextpnr-himbaechel-ng-ultra.dir/__/__/__/common/place/timing_opt.cc.o -MF CMakeFiles/nextpnr-himbaechel-ng-ultra.dir/__/__/__/common/place/timing_opt.cc.o.d -o CMakeFiles/nextpnr-himbaechel-ng-ultra.dir/__/__/__/common/place/timing_opt.cc.o -c /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/place/timing_opt.cc cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/himbaechel/uarch/ng-ultra && /gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/bin/c++ -DARCHNAME=himbaechel -DARCH_HIMBAECHEL -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DGTEST_LINKED_AS_SHARED_LIBRARY=1 -DNEXTPNR_NAMESPACE=nextpnr_himbaechel -DNO_RUST -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -Dnextpnr_himbaechel_ng_ultra_test_EXPORTS -I/gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/include/python3.11 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/himbaechel/uarch/ng-ultra/gtest_include_dir -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/himbaechel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/common -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/frontend/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/json/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/rust/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/json11/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/place/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/route/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/oourafft/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/himbaechel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui -isystem /gnu/store/r94kayv6y93mj1hgc13k11klha366dsw-eigen-3.4.0/include/eigen3 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtWidgets -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtGui -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtCore -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/lib/qt5/mkspecs/linux-g++ -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -fdiagnostics-color=always -fPIC -MD -MT himbaechel/uarch/ng-ultra/CMakeFiles/nextpnr-himbaechel-ng-ultra-test.dir/__/__/__/common/place/detail_place_core.cc.o -MF CMakeFiles/nextpnr-himbaechel-ng-ultra-test.dir/__/__/__/common/place/detail_place_core.cc.o.d -o CMakeFiles/nextpnr-himbaechel-ng-ultra-test.dir/__/__/__/common/place/detail_place_core.cc.o -c /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/place/detail_place_core.cc [ 83%] Building CXX object himbaechel/uarch/ng-ultra/CMakeFiles/nextpnr-himbaechel-ng-ultra-test.dir/__/__/__/common/place/placer1.cc.o [ 83%] Building CXX object himbaechel/uarch/ng-ultra/CMakeFiles/nextpnr-himbaechel-ng-ultra-test.dir/__/__/__/common/place/place_common.cc.o [ 83%] Building CXX object himbaechel/uarch/ng-ultra/CMakeFiles/nextpnr-himbaechel-ng-ultra.dir/__/__/__/common/route/router1.cc.o [ 83%] Building CXX object himbaechel/uarch/ng-ultra/CMakeFiles/nextpnr-himbaechel-ng-ultra-test.dir/__/__/__/common/place/placer_heap.cc.o [ 83%] Building CXX object himbaechel/uarch/ng-ultra/CMakeFiles/nextpnr-himbaechel-ng-ultra-test.dir/__/__/__/common/place/parallel_refine.cc.o cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/himbaechel/uarch/ng-ultra && /gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/bin/c++ -DARCHNAME=himbaechel -DARCH_HIMBAECHEL -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DNEXTPNR_NAMESPACE=nextpnr_himbaechel -DNO_RUST -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -Dnextpnr_himbaechel_ng_ultra_EXPORTS -I/gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/include/python3.11 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/himbaechel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/common -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/frontend/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/json/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/rust/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/json11/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/place/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/route/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/oourafft/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/himbaechel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui -isystem /gnu/store/r94kayv6y93mj1hgc13k11klha366dsw-eigen-3.4.0/include/eigen3 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtWidgets -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtGui -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtCore -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/lib/qt5/mkspecs/linux-g++ -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -fdiagnostics-color=always -fPIC -MD -MT himbaechel/uarch/ng-ultra/CMakeFiles/nextpnr-himbaechel-ng-ultra.dir/__/__/__/common/route/router1.cc.o -MF CMakeFiles/nextpnr-himbaechel-ng-ultra.dir/__/__/__/common/route/router1.cc.o.d -o CMakeFiles/nextpnr-himbaechel-ng-ultra.dir/__/__/__/common/route/router1.cc.o -c /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/route/router1.cc cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/himbaechel/uarch/ng-ultra && /gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/bin/c++ -DARCHNAME=himbaechel -DARCH_HIMBAECHEL -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DGTEST_LINKED_AS_SHARED_LIBRARY=1 -DNEXTPNR_NAMESPACE=nextpnr_himbaechel -DNO_RUST -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -Dnextpnr_himbaechel_ng_ultra_test_EXPORTS -I/gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/include/python3.11 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/himbaechel/uarch/ng-ultra/gtest_include_dir -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/himbaechel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/common -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/frontend/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/json/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/rust/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/json11/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/place/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/route/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/oourafft/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/himbaechel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui -isystem /gnu/store/r94kayv6y93mj1hgc13k11klha366dsw-eigen-3.4.0/include/eigen3 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtWidgets -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtGui -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtCore -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/lib/qt5/mkspecs/linux-g++ -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -fdiagnostics-color=always -fPIC -MD -MT himbaechel/uarch/ng-ultra/CMakeFiles/nextpnr-himbaechel-ng-ultra-test.dir/__/__/__/common/place/placer1.cc.o -MF CMakeFiles/nextpnr-himbaechel-ng-ultra-test.dir/__/__/__/common/place/placer1.cc.o.d -o CMakeFiles/nextpnr-himbaechel-ng-ultra-test.dir/__/__/__/common/place/placer1.cc.o -c /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/place/placer1.cc cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/himbaechel/uarch/ng-ultra && /gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/bin/c++ -DARCHNAME=himbaechel -DARCH_HIMBAECHEL -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DGTEST_LINKED_AS_SHARED_LIBRARY=1 -DNEXTPNR_NAMESPACE=nextpnr_himbaechel -DNO_RUST -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -Dnextpnr_himbaechel_ng_ultra_test_EXPORTS -I/gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/include/python3.11 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/himbaechel/uarch/ng-ultra/gtest_include_dir -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/himbaechel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/common -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/frontend/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/json/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/rust/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/json11/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/place/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/route/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/oourafft/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/himbaechel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui -isystem /gnu/store/r94kayv6y93mj1hgc13k11klha366dsw-eigen-3.4.0/include/eigen3 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtWidgets -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtGui -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtCore -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/lib/qt5/mkspecs/linux-g++ -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -fdiagnostics-color=always -fPIC -MD -MT himbaechel/uarch/ng-ultra/CMakeFiles/nextpnr-himbaechel-ng-ultra-test.dir/__/__/__/common/place/place_common.cc.o -MF CMakeFiles/nextpnr-himbaechel-ng-ultra-test.dir/__/__/__/common/place/place_common.cc.o.d -o CMakeFiles/nextpnr-himbaechel-ng-ultra-test.dir/__/__/__/common/place/place_common.cc.o -c /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/place/place_common.cc cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/himbaechel/uarch/ng-ultra && /gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/bin/c++ -DARCHNAME=himbaechel -DARCH_HIMBAECHEL -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DGTEST_LINKED_AS_SHARED_LIBRARY=1 -DNEXTPNR_NAMESPACE=nextpnr_himbaechel -DNO_RUST -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -Dnextpnr_himbaechel_ng_ultra_test_EXPORTS -I/gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/include/python3.11 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/himbaechel/uarch/ng-ultra/gtest_include_dir -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/himbaechel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/common -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/frontend/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/json/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/rust/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/json11/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/place/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/route/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/oourafft/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/himbaechel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui -isystem /gnu/store/r94kayv6y93mj1hgc13k11klha366dsw-eigen-3.4.0/include/eigen3 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtWidgets -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtGui -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtCore -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/lib/qt5/mkspecs/linux-g++ -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -fdiagnostics-color=always -fPIC -MD -MT himbaechel/uarch/ng-ultra/CMakeFiles/nextpnr-himbaechel-ng-ultra-test.dir/__/__/__/common/place/parallel_refine.cc.o -MF CMakeFiles/nextpnr-himbaechel-ng-ultra-test.dir/__/__/__/common/place/parallel_refine.cc.o.d -o CMakeFiles/nextpnr-himbaechel-ng-ultra-test.dir/__/__/__/common/place/parallel_refine.cc.o -c /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/place/parallel_refine.cc cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/himbaechel/uarch/ng-ultra && /gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/bin/c++ -DARCHNAME=himbaechel -DARCH_HIMBAECHEL -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DGTEST_LINKED_AS_SHARED_LIBRARY=1 -DNEXTPNR_NAMESPACE=nextpnr_himbaechel -DNO_RUST -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -Dnextpnr_himbaechel_ng_ultra_test_EXPORTS -I/gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/include/python3.11 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/himbaechel/uarch/ng-ultra/gtest_include_dir -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/himbaechel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/common -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/frontend/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/json/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/rust/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/json11/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/place/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/route/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/oourafft/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/himbaechel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui -isystem /gnu/store/r94kayv6y93mj1hgc13k11klha366dsw-eigen-3.4.0/include/eigen3 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtWidgets -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtGui -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtCore -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/lib/qt5/mkspecs/linux-g++ -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -fdiagnostics-color=always -fPIC -MD -MT himbaechel/uarch/ng-ultra/CMakeFiles/nextpnr-himbaechel-ng-ultra-test.dir/__/__/__/common/place/placer_heap.cc.o -MF CMakeFiles/nextpnr-himbaechel-ng-ultra-test.dir/__/__/__/common/place/placer_heap.cc.o.d -o CMakeFiles/nextpnr-himbaechel-ng-ultra-test.dir/__/__/__/common/place/placer_heap.cc.o -c /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/place/placer_heap.cc [ 83%] Building CXX object himbaechel/uarch/ng-ultra/CMakeFiles/nextpnr-himbaechel-ng-ultra-test.dir/__/__/__/common/route/router1.cc.o cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/himbaechel/uarch/ng-ultra && /gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/bin/c++ -DARCHNAME=himbaechel -DARCH_HIMBAECHEL -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DGTEST_LINKED_AS_SHARED_LIBRARY=1 -DNEXTPNR_NAMESPACE=nextpnr_himbaechel -DNO_RUST -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -Dnextpnr_himbaechel_ng_ultra_test_EXPORTS -I/gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/include/python3.11 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/himbaechel/uarch/ng-ultra/gtest_include_dir -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/himbaechel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/common -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/frontend/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/json/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/rust/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/json11/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/place/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/route/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/oourafft/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/himbaechel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui -isystem /gnu/store/r94kayv6y93mj1hgc13k11klha366dsw-eigen-3.4.0/include/eigen3 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtWidgets -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtGui -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtCore -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/lib/qt5/mkspecs/linux-g++ -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -fdiagnostics-color=always -fPIC -MD -MT himbaechel/uarch/ng-ultra/CMakeFiles/nextpnr-himbaechel-ng-ultra-test.dir/__/__/__/common/route/router1.cc.o -MF CMakeFiles/nextpnr-himbaechel-ng-ultra-test.dir/__/__/__/common/route/router1.cc.o.d -o CMakeFiles/nextpnr-himbaechel-ng-ultra-test.dir/__/__/__/common/route/router1.cc.o -c /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/route/router1.cc [ 84%] Building CXX object himbaechel/uarch/ng-ultra/CMakeFiles/nextpnr-himbaechel-ng-ultra.dir/__/__/__/3rdparty/oourafft/fftsg2d.cc.o [ 85%] Building CXX object himbaechel/uarch/ng-ultra/CMakeFiles/nextpnr-himbaechel-ng-ultra-test.dir/__/__/__/tests/gui/quadtree.cc.o cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/himbaechel/uarch/ng-ultra && /gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/bin/c++ -DARCHNAME=himbaechel -DARCH_HIMBAECHEL -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DNEXTPNR_NAMESPACE=nextpnr_himbaechel -DNO_RUST -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -Dnextpnr_himbaechel_ng_ultra_EXPORTS -I/gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/include/python3.11 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/himbaechel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/common -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/frontend/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/json/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/rust/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/json11/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/place/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/route/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/oourafft/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/himbaechel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui -isystem /gnu/store/r94kayv6y93mj1hgc13k11klha366dsw-eigen-3.4.0/include/eigen3 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtWidgets -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtGui -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtCore -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/lib/qt5/mkspecs/linux-g++ -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -fdiagnostics-color=always -fPIC -MD -MT himbaechel/uarch/ng-ultra/CMakeFiles/nextpnr-himbaechel-ng-ultra.dir/__/__/__/3rdparty/oourafft/fftsg2d.cc.o -MF CMakeFiles/nextpnr-himbaechel-ng-ultra.dir/__/__/__/3rdparty/oourafft/fftsg2d.cc.o.d -o CMakeFiles/nextpnr-himbaechel-ng-ultra.dir/__/__/__/3rdparty/oourafft/fftsg2d.cc.o -c /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/oourafft/fftsg2d.cc cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/himbaechel/uarch/ng-ultra && /gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/bin/c++ -DARCHNAME=himbaechel -DARCH_HIMBAECHEL -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DGTEST_LINKED_AS_SHARED_LIBRARY=1 -DNEXTPNR_NAMESPACE=nextpnr_himbaechel -DNO_RUST -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -Dnextpnr_himbaechel_ng_ultra_test_EXPORTS -I/gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/include/python3.11 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/himbaechel/uarch/ng-ultra/gtest_include_dir -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/himbaechel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/common -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/frontend/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/json/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/rust/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/json11/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/place/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/route/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/oourafft/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/himbaechel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui -isystem /gnu/store/r94kayv6y93mj1hgc13k11klha366dsw-eigen-3.4.0/include/eigen3 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtWidgets -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtGui -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtCore -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/lib/qt5/mkspecs/linux-g++ -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -fdiagnostics-color=always -fPIC -MD -MT himbaechel/uarch/ng-ultra/CMakeFiles/nextpnr-himbaechel-ng-ultra-test.dir/__/__/__/tests/gui/quadtree.cc.o -MF CMakeFiles/nextpnr-himbaechel-ng-ultra-test.dir/__/__/__/tests/gui/quadtree.cc.o.d -o CMakeFiles/nextpnr-himbaechel-ng-ultra-test.dir/__/__/__/tests/gui/quadtree.cc.o -c /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/tests/gui/quadtree.cc [ 85%] Building CXX object himbaechel/uarch/ng-ultra/CMakeFiles/nextpnr-himbaechel-ng-ultra.dir/__/__/__/3rdparty/oourafft/fftsg.cc.o [ 85%] Building CXX object himbaechel/uarch/ng-ultra/CMakeFiles/nextpnr-himbaechel-ng-ultra.dir/__/__/__/common/route/router2.cc.o cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/himbaechel/uarch/ng-ultra && /gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/bin/c++ -DARCHNAME=himbaechel -DARCH_HIMBAECHEL -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DNEXTPNR_NAMESPACE=nextpnr_himbaechel -DNO_RUST -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -Dnextpnr_himbaechel_ng_ultra_EXPORTS -I/gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/include/python3.11 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/himbaechel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/common -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/frontend/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/json/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/rust/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/json11/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/place/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/route/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/oourafft/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/himbaechel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui -isystem /gnu/store/r94kayv6y93mj1hgc13k11klha366dsw-eigen-3.4.0/include/eigen3 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtWidgets -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtGui -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtCore -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/lib/qt5/mkspecs/linux-g++ -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -fdiagnostics-color=always -fPIC -MD -MT himbaechel/uarch/ng-ultra/CMakeFiles/nextpnr-himbaechel-ng-ultra.dir/__/__/__/3rdparty/oourafft/fftsg.cc.o -MF CMakeFiles/nextpnr-himbaechel-ng-ultra.dir/__/__/__/3rdparty/oourafft/fftsg.cc.o.d -o CMakeFiles/nextpnr-himbaechel-ng-ultra.dir/__/__/__/3rdparty/oourafft/fftsg.cc.o -c /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/oourafft/fftsg.cc cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/himbaechel/uarch/ng-ultra && /gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/bin/c++ -DARCHNAME=himbaechel -DARCH_HIMBAECHEL -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DNEXTPNR_NAMESPACE=nextpnr_himbaechel -DNO_RUST -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -Dnextpnr_himbaechel_ng_ultra_EXPORTS -I/gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/include/python3.11 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/himbaechel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/common -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/frontend/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/json/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/rust/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/json11/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/place/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/route/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/oourafft/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/himbaechel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui -isystem /gnu/store/r94kayv6y93mj1hgc13k11klha366dsw-eigen-3.4.0/include/eigen3 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtWidgets -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtGui -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtCore -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/lib/qt5/mkspecs/linux-g++ -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -fdiagnostics-color=always -fPIC -MD -MT himbaechel/uarch/ng-ultra/CMakeFiles/nextpnr-himbaechel-ng-ultra.dir/__/__/__/common/route/router2.cc.o -MF CMakeFiles/nextpnr-himbaechel-ng-ultra.dir/__/__/__/common/route/router2.cc.o.d -o CMakeFiles/nextpnr-himbaechel-ng-ultra.dir/__/__/__/common/route/router2.cc.o -c /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/route/router2.cc [ 85%] Building CXX object himbaechel/uarch/ng-ultra/CMakeFiles/nextpnr-himbaechel-ng-ultra-test.dir/__/__/__/3rdparty/oourafft/fftsg2d.cc.o [ 85%] Building CXX object himbaechel/uarch/ng-ultra/CMakeFiles/nextpnr-himbaechel-ng-ultra-test.dir/__/__/__/3rdparty/oourafft/fftsg.cc.o cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/himbaechel/uarch/ng-ultra && /gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/bin/c++ -DARCHNAME=himbaechel -DARCH_HIMBAECHEL -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DGTEST_LINKED_AS_SHARED_LIBRARY=1 -DNEXTPNR_NAMESPACE=nextpnr_himbaechel -DNO_RUST -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -Dnextpnr_himbaechel_ng_ultra_test_EXPORTS -I/gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/include/python3.11 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/himbaechel/uarch/ng-ultra/gtest_include_dir -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/himbaechel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/common -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/frontend/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/json/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/rust/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/json11/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/place/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/route/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/oourafft/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/himbaechel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui -isystem /gnu/store/r94kayv6y93mj1hgc13k11klha366dsw-eigen-3.4.0/include/eigen3 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtWidgets -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtGui -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtCore -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/lib/qt5/mkspecs/linux-g++ -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -fdiagnostics-color=always -fPIC -MD -MT himbaechel/uarch/ng-ultra/CMakeFiles/nextpnr-himbaechel-ng-ultra-test.dir/__/__/__/3rdparty/oourafft/fftsg2d.cc.o -MF CMakeFiles/nextpnr-himbaechel-ng-ultra-test.dir/__/__/__/3rdparty/oourafft/fftsg2d.cc.o.d -o CMakeFiles/nextpnr-himbaechel-ng-ultra-test.dir/__/__/__/3rdparty/oourafft/fftsg2d.cc.o -c /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/oourafft/fftsg2d.cc cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/himbaechel/uarch/ng-ultra && /gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/bin/c++ -DARCHNAME=himbaechel -DARCH_HIMBAECHEL -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DGTEST_LINKED_AS_SHARED_LIBRARY=1 -DNEXTPNR_NAMESPACE=nextpnr_himbaechel -DNO_RUST -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -Dnextpnr_himbaechel_ng_ultra_test_EXPORTS -I/gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/include/python3.11 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/himbaechel/uarch/ng-ultra/gtest_include_dir -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/himbaechel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/common -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/frontend/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/json/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/rust/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/json11/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/place/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/route/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/oourafft/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/himbaechel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui -isystem /gnu/store/r94kayv6y93mj1hgc13k11klha366dsw-eigen-3.4.0/include/eigen3 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtWidgets -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtGui -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtCore -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/lib/qt5/mkspecs/linux-g++ -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -fdiagnostics-color=always -fPIC -MD -MT himbaechel/uarch/ng-ultra/CMakeFiles/nextpnr-himbaechel-ng-ultra-test.dir/__/__/__/3rdparty/oourafft/fftsg.cc.o -MF CMakeFiles/nextpnr-himbaechel-ng-ultra-test.dir/__/__/__/3rdparty/oourafft/fftsg.cc.o.d -o CMakeFiles/nextpnr-himbaechel-ng-ultra-test.dir/__/__/__/3rdparty/oourafft/fftsg.cc.o -c /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/oourafft/fftsg.cc [ 85%] Building CXX object himbaechel/uarch/ng-ultra/CMakeFiles/nextpnr-himbaechel-ng-ultra-test.dir/__/__/__/common/route/router2.cc.o cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/himbaechel/uarch/ng-ultra && /gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/bin/c++ -DARCHNAME=himbaechel -DARCH_HIMBAECHEL -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DGTEST_LINKED_AS_SHARED_LIBRARY=1 -DNEXTPNR_NAMESPACE=nextpnr_himbaechel -DNO_RUST -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -Dnextpnr_himbaechel_ng_ultra_test_EXPORTS -I/gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/include/python3.11 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/himbaechel/uarch/ng-ultra/gtest_include_dir -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/himbaechel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/common -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/frontend/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/json/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/rust/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/json11/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/place/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/route/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/oourafft/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/himbaechel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui -isystem /gnu/store/r94kayv6y93mj1hgc13k11klha366dsw-eigen-3.4.0/include/eigen3 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtWidgets -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtGui -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtCore -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/lib/qt5/mkspecs/linux-g++ -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -fdiagnostics-color=always -fPIC -MD -MT himbaechel/uarch/ng-ultra/CMakeFiles/nextpnr-himbaechel-ng-ultra-test.dir/__/__/__/common/route/router2.cc.o -MF CMakeFiles/nextpnr-himbaechel-ng-ultra-test.dir/__/__/__/common/route/router2.cc.o.d -o CMakeFiles/nextpnr-himbaechel-ng-ultra-test.dir/__/__/__/common/route/router2.cc.o -c /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/route/router2.cc [ 85%] Building CXX object himbaechel/uarch/ng-ultra/CMakeFiles/nextpnr-himbaechel-ng-ultra-test.dir/__/__/__/common/place/timing_opt.cc.o cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/himbaechel/uarch/ng-ultra && /gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/bin/c++ -DARCHNAME=himbaechel -DARCH_HIMBAECHEL -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DGTEST_LINKED_AS_SHARED_LIBRARY=1 -DNEXTPNR_NAMESPACE=nextpnr_himbaechel -DNO_RUST -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -Dnextpnr_himbaechel_ng_ultra_test_EXPORTS -I/gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/include/python3.11 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/himbaechel/uarch/ng-ultra/gtest_include_dir -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/himbaechel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/common -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/frontend/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/json/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/rust/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/json11/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/place/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/route/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/oourafft/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/himbaechel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui -isystem /gnu/store/r94kayv6y93mj1hgc13k11klha366dsw-eigen-3.4.0/include/eigen3 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtWidgets -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtGui -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtCore -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/lib/qt5/mkspecs/linux-g++ -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -fdiagnostics-color=always -fPIC -MD -MT himbaechel/uarch/ng-ultra/CMakeFiles/nextpnr-himbaechel-ng-ultra-test.dir/__/__/__/common/place/timing_opt.cc.o -MF CMakeFiles/nextpnr-himbaechel-ng-ultra-test.dir/__/__/__/common/place/timing_opt.cc.o.d -o CMakeFiles/nextpnr-himbaechel-ng-ultra-test.dir/__/__/__/common/place/timing_opt.cc.o -c /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/place/timing_opt.cc [ 85%] Building CXX object himbaechel/uarch/ng-ultra/CMakeFiles/nextpnr-himbaechel-ng-ultra-test.dir/__/__/__/common/place/placer_static.cc.o cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/himbaechel/uarch/ng-ultra && /gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/bin/c++ -DARCHNAME=himbaechel -DARCH_HIMBAECHEL -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DGTEST_LINKED_AS_SHARED_LIBRARY=1 -DNEXTPNR_NAMESPACE=nextpnr_himbaechel -DNO_RUST -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -Dnextpnr_himbaechel_ng_ultra_test_EXPORTS -I/gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/include/python3.11 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/himbaechel/uarch/ng-ultra/gtest_include_dir -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/himbaechel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/common -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/frontend/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/json/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/rust/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/json11/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/place/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/route/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/oourafft/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/himbaechel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui -isystem /gnu/store/r94kayv6y93mj1hgc13k11klha366dsw-eigen-3.4.0/include/eigen3 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtWidgets -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtGui -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtCore -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/lib/qt5/mkspecs/linux-g++ -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -fdiagnostics-color=always -fPIC -MD -MT himbaechel/uarch/ng-ultra/CMakeFiles/nextpnr-himbaechel-ng-ultra-test.dir/__/__/__/common/place/placer_static.cc.o -MF CMakeFiles/nextpnr-himbaechel-ng-ultra-test.dir/__/__/__/common/place/placer_static.cc.o.d -o CMakeFiles/nextpnr-himbaechel-ng-ultra-test.dir/__/__/__/common/place/placer_static.cc.o -c /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/place/placer_static.cc Loading timings for best_lpr... Loading timings for best_eco... Loading timings for best_spd... Loading timings for typ_lpr... Loading timings for typ_eco... Loading timings for typ_spd... Loading timings for worst_lpr... Loading timings for worst_eco... Loading timings for worst_spd... Deduplicating tile shapes... 2294 unique tile routing shapes [ 85%] Linking CXX executable ../../../nextpnr-himbaechel-ng-ultra-test cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/himbaechel/uarch/ng-ultra && /gnu/store/d5c8i3b549r412lkhddggyfx9mqdphs7-cmake-minimal-3.31.10/bin/cmake -E cmake_link_script CMakeFiles/nextpnr-himbaechel-ng-ultra-test.dir/link.txt --verbose=1 /gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/bin/c++ -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -Wl,--export-dynamic -rdynamic -Wl,--dependency-file=CMakeFiles/nextpnr-himbaechel-ng-ultra-test.dir/link.d "CMakeFiles/nextpnr-himbaechel-ng-ultra-test.dir/tests/lut_dff.cc.o" "CMakeFiles/nextpnr-himbaechel-ng-ultra-test.dir/tests/main.cc.o" "CMakeFiles/nextpnr-himbaechel-ng-ultra-test.dir/__/__/arch.cc.o" "CMakeFiles/nextpnr-himbaechel-ng-ultra-test.dir/__/__/arch_pybindings.cc.o" "CMakeFiles/nextpnr-himbaechel-ng-ultra-test.dir/__/__/himbaechel_api.cc.o" "CMakeFiles/nextpnr-himbaechel-ng-ultra-test.dir/__/__/himbaechel_helpers.cc.o" "CMakeFiles/nextpnr-himbaechel-ng-ultra-test.dir/bitstream.cc.o" "CMakeFiles/nextpnr-himbaechel-ng-ultra-test.dir/cells.cc.o" "CMakeFiles/nextpnr-himbaechel-ng-ultra-test.dir/csv.cc.o" "CMakeFiles/nextpnr-himbaechel-ng-ultra-test.dir/location_map.cc.o" "CMakeFiles/nextpnr-himbaechel-ng-ultra-test.dir/ng_ultra.cc.o" "CMakeFiles/nextpnr-himbaechel-ng-ultra-test.dir/pack.cc.o" "CMakeFiles/nextpnr-himbaechel-ng-ultra-test.dir/__/__/__/common/kernel/archcheck.cc.o" "CMakeFiles/nextpnr-himbaechel-ng-ultra-test.dir/__/__/__/common/kernel/basectx.cc.o" "CMakeFiles/nextpnr-himbaechel-ng-ultra-test.dir/__/__/__/common/kernel/bits.cc.o" "CMakeFiles/nextpnr-himbaechel-ng-ultra-test.dir/__/__/__/common/kernel/command.cc.o" "CMakeFiles/nextpnr-himbaechel-ng-ultra-test.dir/__/__/__/common/kernel/context.cc.o" "CMakeFiles/nextpnr-himbaechel-ng-ultra-test.dir/__/__/__/common/kernel/design_utils.cc.o" "CMakeFiles/nextpnr-himbaechel-ng-ultra-test.dir/__/__/__/common/kernel/embed.cc.o" "CMakeFiles/nextpnr-himbaechel-ng-ultra-test.dir/__/__/__/common/kernel/handle_error.cc.o" "CMakeFiles/nextpnr-himbaechel-ng-ultra-test.dir/__/__/__/common/kernel/idstring.cc.o" "CMakeFiles/nextpnr-himbaechel-ng-ultra-test.dir/__/__/__/common/kernel/idstringlist.cc.o" "CMakeFiles/nextpnr-himbaechel-ng-ultra-test.dir/__/__/__/common/kernel/log.cc.o" "CMakeFiles/nextpnr-himbaechel-ng-ultra-test.dir/__/__/__/common/kernel/nextpnr_assertions.cc.o" "CMakeFiles/nextpnr-himbaechel-ng-ultra-test.dir/__/__/__/common/kernel/nextpnr.cc.o" "CMakeFiles/nextpnr-himbaechel-ng-ultra-test.dir/__/__/__/common/kernel/nextpnr_namespaces.cc.o" "CMakeFiles/nextpnr-himbaechel-ng-ultra-test.dir/__/__/__/common/kernel/nextpnr_types.cc.o" "CMakeFiles/nextpnr-himbaechel-ng-ultra-test.dir/__/__/__/common/kernel/property.cc.o" "CMakeFiles/nextpnr-himbaechel-ng-ultra-test.dir/__/__/__/common/kernel/pybindings.cc.o" "CMakeFiles/nextpnr-himbaechel-ng-ultra-test.dir/__/__/__/common/kernel/report.cc.o" "CMakeFiles/nextpnr-himbaechel-ng-ultra-test.dir/__/__/__/common/kernel/sdc.cc.o" "CMakeFiles/nextpnr-himbaechel-ng-ultra-test.dir/__/__/__/common/kernel/sdf.cc.o" "CMakeFiles/nextpnr-himbaechel-ng-ultra-test.dir/__/__/__/common/kernel/str_ring_buffer.cc.o" "CMakeFiles/nextpnr-himbaechel-ng-ultra-test.dir/__/__/__/common/kernel/svg.cc.o" "CMakeFiles/nextpnr-himbaechel-ng-ultra-test.dir/__/__/__/common/kernel/timing.cc.o" "CMakeFiles/nextpnr-himbaechel-ng-ultra-test.dir/__/__/__/common/kernel/timing_log.cc.o" "CMakeFiles/nextpnr-himbaechel-ng-ultra-test.dir/__/__/__/frontend/json_frontend.cc.o" "CMakeFiles/nextpnr-himbaechel-ng-ultra-test.dir/__/__/__/json/jsonwrite.cc.o" "CMakeFiles/nextpnr-himbaechel-ng-ultra-test.dir/__/__/__/common/place/detail_place_core.cc.o" "CMakeFiles/nextpnr-himbaechel-ng-ultra-test.dir/__/__/__/common/place/parallel_refine.cc.o" "CMakeFiles/nextpnr-himbaechel-ng-ultra-test.dir/__/__/__/common/place/place_common.cc.o" "CMakeFiles/nextpnr-himbaechel-ng-ultra-test.dir/__/__/__/common/place/placer1.cc.o" "CMakeFiles/nextpnr-himbaechel-ng-ultra-test.dir/__/__/__/common/place/placer_heap.cc.o" "CMakeFiles/nextpnr-himbaechel-ng-ultra-test.dir/__/__/__/common/place/placer_static.cc.o" "CMakeFiles/nextpnr-himbaechel-ng-ultra-test.dir/__/__/__/common/place/timing_opt.cc.o" "CMakeFiles/nextpnr-himbaechel-ng-ultra-test.dir/__/__/__/common/route/router1.cc.o" "CMakeFiles/nextpnr-himbaechel-ng-ultra-test.dir/__/__/__/common/route/router2.cc.[ 85%] Linking CXX executable ../../../nextpnr-himbaechel-ng-ultra cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/himbaechel/uarch/ng-ultra && /gnu/store/d5c8i3b549r412lkhddggyfx9mqdphs7-cmake-minimal-3.31.10/bin/cmake -E cmake_link_script CMakeFiles/nextpnr-himbaechel-ng-ultra.dir/link.txt --verbose=1 /gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/bin/c++ -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -Wl,--export-dynamic -rdynamic -Wl,--dependency-file=CMakeFiles/nextpnr-himbaechel-ng-ultra.dir/link.d "CMakeFiles/nextpnr-himbaechel-ng-ultra.dir/__/__/main.cc.o" "CMakeFiles/nextpnr-himbaechel-ng-ultra.dir/__/__/arch.cc.o" "CMakeFiles/nextpnr-himbaechel-ng-ultra.dir/__/__/arch_pybindings.cc.o" "CMakeFiles/nextpnr-himbaechel-ng-ultra.dir/__/__/himbaechel_api.cc.o" "CMakeFiles/nextpnr-himbaechel-ng-ultra.dir/__/__/himbaechel_helpers.cc.o" "CMakeFiles/nextpnr-himbaechel-ng-ultra.dir/bitstream.cc.o" "CMakeFiles/nextpnr-himbaechel-ng-ultra.dir/cells.cc.o" "CMakeFiles/nextpnr-himbaechel-ng-ultra.dir/csv.cc.o" "CMakeFiles/nextpnr-himbaechel-ng-ultra.dir/location_map.cc.o" "CMakeFiles/nextpnr-himbaechel-ng-ultra.dir/ng_ultra.cc.o" "CMakeFiles/nextpnr-himbaechel-ng-ultra.dir/pack.cc.o" "CMakeFiles/nextpnr-himbaechel-ng-ultra.dir/__/__/__/common/kernel/archcheck.cc.o" "CMakeFiles/nextpnr-himbaechel-ng-ultra.dir/__/__/__/common/kernel/basectx.cc.o" "CMakeFiles/nextpnr-himbaechel-ng-ultra.dir/__/__/__/common/kernel/bits.cc.o" "CMakeFiles/nextpnr-himbaechel-ng-ultra.dir/__/__/__/common/kernel/command.cc.o" "CMakeFiles/nextpnr-himbaechel-ng-ultra.dir/__/__/__/common/kernel/context.cc.o" "CMakeFiles/nextpnr-himbaechel-ng-ultra.dir/__/__/__/common/kernel/design_utils.cc.o" "CMakeFiles/nextpnr-himbaechel-ng-ultra.dir/__/__/__/common/kernel/embed.cc.o" "CMakeFiles/nextpnr-himbaechel-ng-ultra.dir/__/__/__/common/kernel/handle_error.cc.o" "CMakeFiles/nextpnr-himbaechel-ng-ultra.dir/__/__/__/common/kernel/idstring.cc.o" "CMakeFiles/nextpnr-himbaechel-ng-ultra.dir/__/__/__/common/kernel/idstringlist.cc.o" "CMakeFiles/nextpnr-himbaechel-ng-ultra.dir/__/__/__/common/kernel/log.cc.o" "CMakeFiles/nextpnr-himbaechel-ng-ultra.dir/__/__/__/common/kernel/nextpnr_assertions.cc.o" "CMakeFiles/nextpnr-himbaechel-ng-ultra.dir/__/__/__/common/kernel/nextpnr.cc.o" "CMakeFiles/nextpnr-himbaechel-ng-ultra.dir/__/__/__/common/kernel/nextpnr_namespaces.cc.o" "CMakeFiles/nextpnr-himbaechel-ng-ultra.dir/__/__/__/common/kernel/nextpnr_types.cc.o" "CMakeFiles/nextpnr-himbaechel-ng-ultra.dir/__/__/__/common/kernel/property.cc.o" "CMakeFiles/nextpnr-himbaechel-ng-ultra.dir/__/__/__/common/kernel/pybindings.cc.o" "CMakeFiles/nextpnr-himbaechel-ng-ultra.dir/__/__/__/common/kernel/report.cc.o" "CMakeFiles/nextpnr-himbaechel-ng-ultra.dir/__/__/__/common/kernel/sdc.cc.o" "CMakeFiles/nextpnr-himbaechel-ng-ultra.dir/__/__/__/common/kernel/sdf.cc.o" "CMakeFiles/nextpnr-himbaechel-ng-ultra.dir/__/__/__/common/kernel/str_ring_buffer.cc.o" "CMakeFiles/nextpnr-himbaechel-ng-ultra.dir/__/__/__/common/kernel/svg.cc.o" "CMakeFiles/nextpnr-himbaechel-ng-ultra.dir/__/__/__/common/kernel/timing.cc.o" "CMakeFiles/nextpnr-himbaechel-ng-ultra.dir/__/__/__/common/kernel/timing_log.cc.o" "CMakeFiles/nextpnr-himbaechel-ng-ultra.dir/__/__/__/frontend/json_frontend.cc.o" "CMakeFiles/nextpnr-himbaechel-ng-ultra.dir/__/__/__/json/jsonwrite.cc.o" "CMakeFiles/nextpnr-himbaechel-ng-ultra.dir/__/__/__/common/place/detail_place_core.cc.o" "CMakeFiles/nextpnr-himbaechel-ng-ultra.dir/__/__/__/common/place/parallel_refine.cc.o" "CMakeFiles/nextpnr-himbaechel-ng-ultra.dir/__/__/__/common/place/place_common.cc.o" "CMakeFiles/nextpnr-himbaechel-ng-ultra.dir/__/__/__/common/place/placer1.cc.o" "CMakeFiles/nextpnr-himbaechel-ng-ultra.dir/__/__/__/common/place/placer_heap.cc.o" "CMakeFiles/nextpnr-himbaechel-ng-ultra.dir/__/__/__/common/place/placer_static.cc.o" "CMakeFiles/nextpnr-himbaechel-ng-ultra.dir/__/__/__/common/place/timing_opt.cc.o" "CMakeFiles/nextpnr-himbaechel-ng-ultra.dir/__/__/__/common/route/router1.cc.o" "CMakeFiles/nextpnr-himbaechel-ng-ultra.dir/__/__/__/common/route/router2.cc.o" "CMakeFiles/nextpnr-himbaechel-ng-ultra.dir/__/__/__/3rdparty/oourafft/fftsg.cc.o" "CMakeFiles/nextpnr-himbaechel-ng-ultra.dir/__/__/__/3rdparty/oourafft/fftsg2d.cc.o" "gui/CMakeFiles/nextpnr-himbaechel-ng-ultra-gui.dir/nextpnr-himbaechel-ng-ultra-gui_autogen/mocs_compilation.cpp.o" "gui/CMakeFiles/ncd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/himbaechel/uarch/gatemate && /gnu/store/d5c8i3b549r412lkhddggyfx9mqdphs7-cmake-minimal-3.31.10/bin/cmake -E rename /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/himbaechel/uarch/gatemate/chipdb-CCGM1A1.bba.new /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/himbaechel/uarch/gatemate/chipdb-CCGM1A1.bba cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/himbaechel/uarch/gatemate && /gnu/store/d5c8i3b549r412lkhddggyfx9mqdphs7-cmake-minimal-3.31.10/bin/cmake -E copy /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/himbaechel/uarch/gatemate/chipdb-CCGM1A1.bba /gnu/store/davzxg5m27gz2djfkghkz3pb7ys8gvgi-nextpnr-ice40-0.9-0.ad76625-bba/share/nextpnr/bba-files/himbaechel/uarch/gatemate/chipdb-CCGM1A1.bba [ 85%] Generating chipdb-CCGM1A1.bin cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/himbaechel/uarch/gatemate && ../../../bba/bbasm --le /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/himbaechel/uarch/gatemate/chipdb-CCGM1A1.bba /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/himbaechel/uarch/gatemate/chipdb-CCGM1A1.bin cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/himbaechel/uarch/gatemate && /gnu/store/d5c8i3b549r412lkhddggyfx9mqdphs7-cmake-minimal-3.31.10/bin/cmake -E make_directory /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/share/himbaechel/gatemate cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/himbaechel/uarch/gatemate && /gnu/store/d5c8i3b549r412lkhddggyfx9mqdphs7-cmake-minimal-3.31.10/bin/cmake -E copy /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/himbaechel/uarch/gatemate/chipdb-CCGM1A1.bin /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/share/himbaechel/gatemate/chipdb-CCGM1A1.bin -gui.dir/__/3rdparty/python-console/ParseHelper.cpp.o" "gui/CMakeFiles/nextpnr-ecp5-gui.dir/__/3rdparty/python-console/ParseHelper.BlockParseState.cpp.o" "gui/CMakeFiles/nextpnr-ecp5-gui.dir/__/3rdparty/python-console/ParseHelper.BracketParseState.cpp.o" "gui/CMakeFiles/nextpnr-ecp5-gui.dir/__/3rdparty/python-console/ParseHelper.ContinuationParseState.cpp.o" "gui/CMakeFiles/nextpnr-ecp5-gui.dir/__/3rdparty/python-console/ParseMessage.cpp.o" "gui/CMakeFiles/nextpnr-ecp5-gui.dir/__/3rdparty/python-console/modified/pyredirector.cc.o" "gui/CMakeFiles/nextpnr-ecp5-gui.dir/__/3rdparty/python-console/modified/pyinterpreter.cc.o" -o ../nextpnr-ecp5 -Wl,-rpath,::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::: ../3rdparty/json11/libjson11.a /gnu/store/4vxzslxx9fcvhcq0cqjr168shg8s1kwa-boost-1.83.0/lib/libboost_filesystem.so.1.83.0 /gnu/store/4vxzslxx9fcvhcq0cqjr168shg8s1kwa-boost-1.83.0/lib/libboost_atomic.so.1.83.0 /gnu/store/4vxzslxx9fcvhcq0cqjr168shg8s1kwa-boost-1.83.0/lib/libboost_program_options.so.1.83.0 /gnu/store/4vxzslxx9fcvhcq0cqjr168shg8s1kwa-boost-1.83.0/lib/libboost_iostreams.so.1.83.0 /gnu/store/4vxzslxx9fcvhcq0cqjr168shg8s1kwa-boost-1.83.0/lib/libboost_system.so.1.83.0 /gnu/store/4vxzslxx9fcvhcq0cqjr168shg8s1kwa-boost-1.83.0/lib/libboost_thread.so.1.83.0 /gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/lib/libpython3.11.so /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/lib/libQt5OpenGL.so.5.15.17 ../3rdparty/QtPropertyBrowser/src/libQtPropertyBrowser.a /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/lib/libQt5Widgets.so.5.15.17 /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/lib/libQt5Gui.so.5.15.17 /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/lib/libQt5Core.so.5.15.17 -limgui /gnu/store/ny8visn9x0y1r3bfylrirfbjprg3nh15-qtimgui-0.0-0.48d64a7/lib/libqt_imgui_widgets.so make[2]: Leaving directory '/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build' [ 85%] Built target nextpnr-ecp5 .o" "gui/CMakeFiles/nextpnr-ecp5-gui.dir/ecp5/mainwindow.cc.o" "gui/CMakeFiles/nextpnr-ecp5-gui.dir/qrc_base.cpp.o" "gui/CMakeFiles/nextpnr-ecp5-gui.dir/qrc_nextpnr.cpp.o" "gui/CMakeFiles/nextpnr-ecp5-gui.dir/__/3rdparty/python-console/ColumnFormatter.cpp.o" "gui/CMakeFiles/nextpnr-ecp5-gui.dir/__/3rdparty/python-console/ParseHelper.cpp.o" "gui/CMakeFiles/nextpnr-ecp5-gui.dir/__/3rdparty/python-console/ParseHelper.BlockParseState.cpp.o" "gui/CMakeFiles/nextpnr-ecp5-gui.dir/__/3rdparty/python-console/ParseHelper.BracketParseState.cpp.o" "gui/CMakeFiles/nextpnr-ecp5-gui.dir/__/3rdparty/python-console/ParseHelper.ContinuationParseState.cpp.o" "gui/CMakeFiles/nextpnr-ecp5-gui.dir/__/3rdparty/python-console/ParseMessage.cpp.o" "gui/CMakeFiles/nextpnr-ecp5-gui.dir/__/3rdparty/python-console/modified/pyredirector.cc.o" "gui/CMakeFiles/nextpnr-ecp5-gui.dir/__/3rdparty/python-console/modified/pyinterpreter.cc.o" -o ../nextpnr-ecp5-test /gnu/store/8plnc3lpnfhyqyy4khcjzm7lhnvvnnw7-googletest-1.17.0/lib/libgtest_main.so.1.17.0 /gnu/store/8plnc3lpnfhyqyy4khcjzm7lhnvvnnw7-googletest-1.17.0/lib/libgtest.so.1.17.0 ../3rdparty/json11/libjson11.a /gnu/store/4vxzslxx9fcvhcq0cqjr168shg8s1kwa-boost-1.83.0/lib/libboost_filesystem.so.1.83.0 /gnu/store/4vxzslxx9fcvhcq0cqjr168shg8s1kwa-boost-1.83.0/lib/libboost_atomic.so.1.83.0 /gnu/store/4vxzslxx9fcvhcq0cqjr168shg8s1kwa-boost-1.83.0/lib/libboost_program_options.so.1.83.0 /gnu/store/4vxzslxx9fcvhcq0cqjr168shg8s1kwa-boost-1.83.0/lib/libboost_iostreams.so.1.83.0 /gnu/store/4vxzslxx9fcvhcq0cqjr168shg8s1kwa-boost-1.83.0/lib/libboost_system.so.1.83.0 /gnu/store/4vxzslxx9fcvhcq0cqjr168shg8s1kwa-boost-1.83.0/lib/libboost_thread.so.1.83.0 /gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/lib/libpython3.11.so /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/lib/libQt5OpenGL.so.5.15.17 ../3rdparty/QtPropertyBrowser/src/libQtPropertyBrowser.a /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/lib/libQt5Widgets.so.5.15.17 /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/lib/libQt5Gui.so.5.15.17 /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/lib/libQt5Core.so.5.15.17 -limgui /gnu/store/ny8visn9x0y1r3bfylrirfbjprg3nh15-qtimgui-0.0-0.48d64a7/lib/libqt_imgui_widgets.so make[2]: Leaving directory '/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build' [ 85%] Built target nextpnr-ecp5-test o" "CMakeFiles/nextpnr-himbaechel-ng-ultra-test.dir/__/__/__/3rdparty/oourafft/fftsg.cc.o" "CMakeFiles/nextpnr-himbaechel-ng-ultra-test.dir/__/__/__/3rdparty/oourafft/fftsg2d.cc.o" "CMakeFiles/nextpnr-himbaechel-ng-ultra-test.dir/__/__/__/tests/gui/quadtree.cc.o" "gui/CMakeFiles/nextpnr-himbaechel-ng-ultra-gui.dir/nextpnr-himbaechel-ng-ultra-gui_autogen/mocs_compilation.cpp.o" "gui/CMakeFiles/nextpnr-himbaechel-ng-ultra-gui.dir/application.cc.o" "gui/CMakeFiles/nextpnr-himbaechel-ng-ultra-gui.dir/basewindow.cc.o" "gui/CMakeFiles/nextpnr-himbaechel-ng-ultra-gui.dir/designwidget.cc.o" "gui/CMakeFiles/nextpnr-himbaechel-ng-ultra-gui.dir/fpgaviewwidget.cc.o" "gui/CMakeFiles/nextpnr-himbaechel-ng-ultra-gui.dir/line_editor.cc.o" "gui/CMakeFiles/nextpnr-himbaechel-ng-ultra-gui.dir/lineshader.cc.o" "gui/CMakeFiles/nextpnr-himbaechel-ng-ultra-gui.dir/pyconsole.cc.o" "gui/CMakeFiles/nextpnr-himbaechel-ng-ultra-gui.dir/pythontab.cc.o" "gui/CMakeFiles/nextpnr-himbaechel-ng-ultra-gui.dir/treemodel.cc.o" "gui/CMakeFiles/nextpnr-himbaechel-ng-ultra-gui.dir/worker.cc.o" "gui/CMakeFiles/nextpnr-himbaechel-ng-ultra-gui.dir/himbaechel/mainwindow.cc.o" "gui/CMakeFiles/nextpnr-himbaechel-ng-ultra-gui.dir/qrc_base.cpp.o" "gui/CMakeFiles/nextpnr-himbaechel-ng-ultra-gui.dir/qrc_nextpnr.cpp.o" "gui/CMakeFiles/nextpnr-himbaechel-ng-ultra-gui.dir/__/3rdparty/python-console/ColumnFormatter.cpp.o" "gui/CMakeFiles/nextpnr-himbaechel-ng-ultra-gui.dir/__/3rdparty/python-console/ParseHelper.cpp.o" "gui/CMakeFiles/nextpnr-himbaechel-ng-ultra-gui.dir/__/3rdparty/python-console/ParseHelper.BlockParseState.cpp.o" "gui/CMakeFiles/nextpnr-himbaechel-ng-ultra-gui.dir/__/3rdparty/python-console/ParseHelper.BracketParseState.cpp.o" "gui/CMakeFiles/nextpnr-himbaechel-ng-ultra-gui.dir/__/3rdparty/python-console/ParseHelper.ContinuationParseState.cpp.o" "gui/CMakeFiles/nextpnr-himbaechel-ng-ultra-gui.dir/__/3rdparty/python-console/ParseMessage.cpp.o" "gui/CMakeFiles/nextpnr-himbaechel-ng-ultra-gui.dir/__/3rdparty/python-console/modified/pyredirector.cc.o" "gui/CMakeFiles/nextpnr-himbaechel-ng-ultra-gui.dir/__/3rdparty/python-console/modified/pyinterpreter.cc.o" -o ../../../nextpnr-himbaechel-ng-ultra-test /gnu/store/8plnc3lpnfhyqyy4khcjzm7lhnvvnnw7-googletest-1.17.0/lib/libgtest_main.so.1.17.0 /gnu/store/8plnc3lpnfhyqyy4khcjzm7lhnvvnnw7-googletest-1.17.0/lib/libgtest.so.1.17.0 ../../../3rdparty/json11/libjson11.a /gnu/store/4vxzslxx9fcvhcq0cqjr168shg8s1kwa-boost-1.83.0/lib/libboost_filesystem.so.1.83.0 /gnu/store/4vxzslxx9fcvhcq0cqjr168shg8s1kwa-boost-1.83.0/lib/libboost_atomic.so.1.83.0 /gnu/store/4vxzslxx9fcvhcq0cqjr168shg8s1kwa-boost-1.83.0/lib/libboost_program_options.so.1.83.0 /gnu/store/4vxzslxx9fcvhcq0cqjr168shg8s1kwa-boost-1.83.0/lib/libboost_iostreams.so.1.83.0 /gnu/store/4vxzslxx9fcvhcq0cqjr168shg8s1kwa-boost-1.83.0/lib/libboost_system.so.1.83.0 /gnu/store/4vxzslxx9fcvhcq0cqjr168shg8s1kwa-boost-1.83.0/lib/libboost_thread.so.1.83.0 /gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/lib/libpython3.11.so /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/lib/libQt5OpenGL.so.5.15.17 ../../../3rdparty/QtPropertyBrowser/src/libQtPropertyBrowser.a /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/lib/libQt5Widgets.so.5.15.17 /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/lib/libQt5Gui.so.5.15.17 /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/lib/libQt5Core.so.5.15.17 -limgui /gnu/store/ny8visn9x0y1r3bfylrirfbjprg3nh15-qtimgui-0.0-0.48d64a7/lib/libqt_imgui_widgets.so make[2]: Leaving directory '/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build' [ 85%] Built target nextpnr-himbaechel-ng-ultra-test extpnr-himbaechel-ng-ultra-gui.dir/application.cc.o" "gui/CMakeFiles/nextpnr-himbaechel-ng-ultra-gui.dir/basewindow.cc.o" "gui/CMakeFiles/nextpnr-himbaechel-ng-ultra-gui.dir/designwidget.cc.o" "gui/CMakeFiles/nextpnr-himbaechel-ng-ultra-gui.dir/fpgaviewwidget.cc.o" "gui/CMakeFiles/nextpnr-himbaechel-ng-ultra-gui.dir/line_editor.cc.o" "gui/CMakeFiles/nextpnr-himbaechel-ng-ultra-gui.dir/lineshader.cc.o" "gui/CMakeFiles/nextpnr-himbaechel-ng-ultra-gui.dir/pyconsole.cc.o" "gui/CMakeFiles/nextpnr-himbaechel-ng-ultra-gui.dir/pythontab.cc.o" "gui/CMakeFiles/nextpnr-himbaechel-ng-ultra-gui.dir/treemodel.cc.o" "gui/CMakeFiles/nextpnr-himbaechel-ng-ultra-gui.dir/worker.cc.o" "gui/CMakeFiles/nextpnr-himbaechel-ng-ultra-gui.dir/himbaechel/mainwindow.cc.o" "gui/CMakeFiles/nextpnr-himbaechel-ng-ultra-gui.dir/qrc_base.cpp.o" "gui/CMakeFiles/nextpnr-himbaechel-ng-ultra-gui.dir/qrc_nextpnr.cpp.o" "gui/CMakeFiles/nextpnr-himbaechel-ng-ultra-gui.dir/__/3rdparty/python-console/ColumnFormatter.cpp.o" "gui/CMakeFiles/nextpnr-himbaechel-ng-ultra-gui.dir/__/3rdparty/python-console/ParseHelper.cpp.o" "gui/CMakeFiles/nextpnr-himbaechel-ng-ultra-gui.dir/__/3rdparty/python-console/ParseHelper.BlockParseState.cpp.o" "gui/CMakeFiles/nextpnr-himbaechel-ng-ultra-gui.dir/__/3rdparty/python-console/ParseHelper.BracketParseState.cpp.o" "gui/CMakeFiles/nextpnr-himbaechel-ng-ultra-gui.dir/__/3rdparty/python-console/ParseHelper.ContinuationParseState.cpp.o" "gui/CMakeFiles/nextpnr-himbaechel-ng-ultra-gui.dir/__/3rdparty/python-console/ParseMessage.cpp.o" "gui/CMakeFiles/nextpnr-himbaechel-ng-ultra-gui.dir/__/3rdparty/python-console/modified/pyredirector.cc.o" "gui/CMakeFiles/nextpnr-himbaechel-ng-ultra-gui.dir/__/3rdparty/python-console/modified/pyinterpreter.cc.o" -o ../../../nextpnr-himbaechel-ng-ultra -Wl,-rpath,::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::: ../../../3rdparty/json11/libjson11.a /gnu/store/4vxzslxx9fcvhcq0cqjr168shg8s1kwa-boost-1.83.0/lib/libboost_filesystem.so.1.83.0 /gnu/store/4vxzslxx9fcvhcq0cqjr168shg8s1kwa-boost-1.83.0/lib/libboost_atomic.so.1.83.0 /gnu/store/4vxzslxx9fcvhcq0cqjr168shg8s1kwa-boost-1.83.0/lib/libboost_program_options.so.1.83.0 /gnu/store/4vxzslxx9fcvhcq0cqjr168shg8s1kwa-boost-1.83.0/lib/libboost_iostreams.so.1.83.0 /gnu/store/4vxzslxx9fcvhcq0cqjr168shg8s1kwa-boost-1.83.0/lib/libboost_system.so.1.83.0 /gnu/store/4vxzslxx9fcvhcq0cqjr168shg8s1kwa-boost-1.83.0/lib/libboost_thread.so.1.83.0 /gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/lib/libpython3.11.so /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/lib/libQt5OpenGL.so.5.15.17 ../../../3rdparty/QtPropertyBrowser/src/libQtPropertyBrowser.a /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/lib/libQt5Widgets.so.5.15.17 /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/lib/libQt5Gui.so.5.15.17 /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/lib/libQt5Core.so.5.15.17 -limgui /gnu/store/ny8visn9x0y1r3bfylrirfbjprg3nh15-qtimgui-0.0-0.48d64a7/lib/libqt_imgui_widgets.so make[2]: Leaving directory '/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build' [ 85%] Built target nextpnr-himbaechel-ng-ultra Loading timings for best_lpr... Loading timings for best_eco... Loading timings for best_spd... Loading timings for typ_lpr... Loading timings for typ_eco... Loading timings for typ_spd... Loading timings for worst_lpr... Loading timings for worst_eco... Loading timings for worst_spd... Deduplicating tile shapes... 2584 unique tile routing shapes cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/himbaechel/uarch/gatemate && /gnu/store/d5c8i3b549r412lkhddggyfx9mqdphs7-cmake-minimal-3.31.10/bin/cmake -E rename /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/himbaechel/uarch/gatemate/chipdb-CCGM1A2.bba.new /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/himbaechel/uarch/gatemate/chipdb-CCGM1A2.bba cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/himbaechel/uarch/gatemate && /gnu/store/d5c8i3b549r412lkhddggyfx9mqdphs7-cmake-minimal-3.31.10/bin/cmake -E copy /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/himbaechel/uarch/gatemate/chipdb-CCGM1A2.bba /gnu/store/davzxg5m27gz2djfkghkz3pb7ys8gvgi-nextpnr-ice40-0.9-0.ad76625-bba/share/nextpnr/bba-files/himbaechel/uarch/gatemate/chipdb-CCGM1A2.bba [ 85%] Generating chipdb-CCGM1A2.bin cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/himbaechel/uarch/gatemate && ../../../bba/bbasm --le /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/himbaechel/uarch/gatemate/chipdb-CCGM1A2.bba /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/himbaechel/uarch/gatemate/chipdb-CCGM1A2.bin cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/himbaechel/uarch/gatemate && /gnu/store/d5c8i3b549r412lkhddggyfx9mqdphs7-cmake-minimal-3.31.10/bin/cmake -E make_directory /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/share/himbaechel/gatemate cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/himbaechel/uarch/gatemate && /gnu/store/d5c8i3b549r412lkhddggyfx9mqdphs7-cmake-minimal-3.31.10/bin/cmake -E copy /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/himbaechel/uarch/gatemate/chipdb-CCGM1A2.bin /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/share/himbaechel/gatemate/chipdb-CCGM1A2.bin make[2]: Leaving directory '/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build' [ 85%] Built target nextpnr-himbaechel-gatemate-chipdb /gnu/store/mx9i9dnjx34lkx8k8r79876q2f5dclvr-make-4.4.1/bin/make -f himbaechel/uarch/gatemate/CMakeFiles/nextpnr-himbaechel-gatemate.dir/build.make himbaechel/uarch/gatemate/CMakeFiles/nextpnr-himbaechel-gatemate.dir/depend /gnu/store/mx9i9dnjx34lkx8k8r79876q2f5dclvr-make-4.4.1/bin/make -f himbaechel/uarch/gatemate/CMakeFiles/nextpnr-himbaechel-gatemate-test.dir/build.make himbaechel/uarch/gatemate/CMakeFiles/nextpnr-himbaechel-gatemate-test.dir/depend make[2]: Entering directory '/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build' cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build && /gnu/store/d5c8i3b549r412lkhddggyfx9mqdphs7-cmake-minimal-3.31.10/bin/cmake -E cmake_depends "Unix Makefiles" /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/himbaechel/uarch/gatemate /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/himbaechel/uarch/gatemate /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/himbaechel/uarch/gatemate/CMakeFiles/nextpnr-himbaechel-gatemate.dir/DependInfo.cmake "--color=" make[2]: Entering directory '/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build' cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build && /gnu/store/d5c8i3b549r412lkhddggyfx9mqdphs7-cmake-minimal-3.31.10/bin/cmake -E cmake_depends "Unix Makefiles" /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/himbaechel/uarch/gatemate /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/himbaechel/uarch/gatemate /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/himbaechel/uarch/gatemate/CMakeFiles/nextpnr-himbaechel-gatemate-test.dir/DependInfo.cmake "--color=" make[2]: Leaving directory '/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build' /gnu/store/mx9i9dnjx34lkx8k8r79876q2f5dclvr-make-4.4.1/bin/make -f himbaechel/uarch/gatemate/CMakeFiles/nextpnr-himbaechel-gatemate.dir/build.make himbaechel/uarch/gatemate/CMakeFiles/nextpnr-himbaechel-gatemate.dir/build make[2]: Entering directory '/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build' make[2]: Leaving directory '/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build' /gnu/store/mx9i9dnjx34lkx8k8r79876q2f5dclvr-make-4.4.1/bin/make -f himbaechel/uarch/gatemate/CMakeFiles/nextpnr-himbaechel-gatemate-test.dir/build.make himbaechel/uarch/gatemate/CMakeFiles/nextpnr-himbaechel-gatemate-test.dir/build [ 86%] Building CXX object himbaechel/uarch/gatemate/CMakeFiles/nextpnr-himbaechel-gatemate.dir/__/__/main.cc.o make[2]: Entering directory '/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build' cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/himbaechel/uarch/gatemate && /gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/bin/c++ -DARCHNAME=himbaechel -DARCH_HIMBAECHEL -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DNEXTPNR_NAMESPACE=nextpnr_himbaechel -DNO_RUST -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -Dnextpnr_himbaechel_gatemate_EXPORTS -I/gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/include/python3.11 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/himbaechel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/common -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/frontend/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/json/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/rust/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/json11/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/place/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/route/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/oourafft/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/himbaechel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui -isystem /gnu/store/r94kayv6y93mj1hgc13k11klha366dsw-eigen-3.4.0/include/eigen3 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtWidgets -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtGui -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtCore -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/lib/qt5/mkspecs/linux-g++ -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -fdiagnostics-color=always -fPIC -MD -MT himbaechel/uarch/gatemate/CMakeFiles/nextpnr-himbaechel-gatemate.dir/__/__/main.cc.o -MF CMakeFiles/nextpnr-himbaechel-gatemate.dir/__/__/main.cc.o.d -o CMakeFiles/nextpnr-himbaechel-gatemate.dir/__/__/main.cc.o -c /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/himbaechel/main.cc [ 86%] Building CXX object himbaechel/uarch/gatemate/CMakeFiles/nextpnr-himbaechel-gatemate-test.dir/tests/lut.cc.o cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/himbaechel/uarch/gatemate && /gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/bin/c++ -DARCHNAME=himbaechel -DARCH_HIMBAECHEL -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DGTEST_LINKED_AS_SHARED_LIBRARY=1 -DNEXTPNR_NAMESPACE=nextpnr_himbaechel -DNO_RUST -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -Dnextpnr_himbaechel_gatemate_test_EXPORTS -I/gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/include/python3.11 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/himbaechel/uarch/gatemate/gtest_include_dir -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/himbaechel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/common -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/frontend/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/json/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/rust/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/json11/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/place/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/route/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/oourafft/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/himbaechel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui -isystem /gnu/store/r94kayv6y93mj1hgc13k11klha366dsw-eigen-3.4.0/include/eigen3 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtWidgets -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtGui -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtCore -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/lib/qt5/mkspecs/linux-g++ -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -fdiagnostics-color=always -fPIC -MD -MT himbaechel/uarch/gatemate/CMakeFiles/nextpnr-himbaechel-gatemate-test.dir/tests/lut.cc.o -MF CMakeFiles/nextpnr-himbaechel-gatemate-test.dir/tests/lut.cc.o.d -o CMakeFiles/nextpnr-himbaechel-gatemate-test.dir/tests/lut.cc.o -c /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/himbaechel/uarch/gatemate/tests/lut.cc [ 86%] Building CXX object himbaechel/uarch/gatemate/CMakeFiles/nextpnr-himbaechel-gatemate-test.dir/__/__/__/tests/gui/quadtree.cc.o cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/himbaechel/uarch/gatemate && /gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/bin/c++ -DARCHNAME=himbaechel -DARCH_HIMBAECHEL -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DGTEST_LINKED_AS_SHARED_LIBRARY=1 -DNEXTPNR_NAMESPACE=nextpnr_himbaechel -DNO_RUST -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -Dnextpnr_himbaechel_gatemate_test_EXPORTS -I/gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/include/python3.11 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/himbaechel/uarch/gatemate/gtest_include_dir -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/himbaechel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/common -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/frontend/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/json/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/rust/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/json11/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/place/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/route/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/oourafft/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/himbaechel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui -isystem /gnu/store/r94kayv6y93mj1hgc13k11klha366dsw-eigen-3.4.0/include/eigen3 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtWidgets -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtGui -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtCore -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/lib/qt5/mkspecs/linux-g++ -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -fdiagnostics-color=always -fPIC -MD -MT himbaechel/uarch/gatemate/CMakeFiles/nextpnr-himbaechel-gatemate-test.dir/__/__/__/tests/gui/quadtree.cc.o -MF CMakeFiles/nextpnr-himbaechel-gatemate-test.dir/__/__/__/tests/gui/quadtree.cc.o.d -o CMakeFiles/nextpnr-himbaechel-gatemate-test.dir/__/__/__/tests/gui/quadtree.cc.o -c /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/tests/gui/quadtree.cc [ 86%] Building CXX object himbaechel/uarch/gatemate/CMakeFiles/nextpnr-himbaechel-gatemate.dir/__/__/__/3rdparty/oourafft/fftsg2d.cc.o cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/himbaechel/uarch/gatemate && /gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/bin/c++ -DARCHNAME=himbaechel -DARCH_HIMBAECHEL -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DNEXTPNR_NAMESPACE=nextpnr_himbaechel -DNO_RUST -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -Dnextpnr_himbaechel_gatemate_EXPORTS -I/gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/include/python3.11 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/himbaechel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/common -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/frontend/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/json/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/rust/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/json11/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/place/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/route/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/oourafft/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/himbaechel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui -isystem /gnu/store/r94kayv6y93mj1hgc13k11klha366dsw-eigen-3.4.0/include/eigen3 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtWidgets -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtGui -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtCore -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/lib/qt5/mkspecs/linux-g++ -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -fdiagnostics-color=always -fPIC -MD -MT himbaechel/uarch/gatemate/CMakeFiles/nextpnr-himbaechel-gatemate.dir/__/__/__/3rdparty/oourafft/fftsg2d.cc.o -MF CMakeFiles/nextpnr-himbaechel-gatemate.dir/__/__/__/3rdparty/oourafft/fftsg2d.cc.o.d -o CMakeFiles/nextpnr-himbaechel-gatemate.dir/__/__/__/3rdparty/oourafft/fftsg2d.cc.o -c /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/oourafft/fftsg2d.cc [ 86%] Building CXX object himbaechel/uarch/gatemate/CMakeFiles/nextpnr-himbaechel-gatemate.dir/__/__/__/3rdparty/oourafft/fftsg.cc.o cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/himbaechel/uarch/gatemate && /gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/bin/c++ -DARCHNAME=himbaechel -DARCH_HIMBAECHEL -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DNEXTPNR_NAMESPACE=nextpnr_himbaechel -DNO_RUST -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -Dnextpnr_himbaechel_gatemate_EXPORTS -I/gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/include/python3.11 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/himbaechel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/common -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/frontend/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/json/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/rust/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/json11/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/place/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/route/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/oourafft/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/himbaechel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui -isystem /gnu/store/r94kayv6y93mj1hgc13k11klha366dsw-eigen-3.4.0/include/eigen3 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtWidgets -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtGui -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtCore -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/lib/qt5/mkspecs/linux-g++ -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -fdiagnostics-color=always -fPIC -MD -MT himbaechel/uarch/gatemate/CMakeFiles/nextpnr-himbaechel-gatemate.dir/__/__/__/3rdparty/oourafft/fftsg.cc.o -MF CMakeFiles/nextpnr-himbaechel-gatemate.dir/__/__/__/3rdparty/oourafft/fftsg.cc.o.d -o CMakeFiles/nextpnr-himbaechel-gatemate.dir/__/__/__/3rdparty/oourafft/fftsg.cc.o -c /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/oourafft/fftsg.cc [ 86%] Building CXX object himbaechel/uarch/gatemate/CMakeFiles/nextpnr-himbaechel-gatemate.dir/__/__/__/common/route/router2.cc.o cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/himbaechel/uarch/gatemate && /gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/bin/c++ -DARCHNAME=himbaechel -DARCH_HIMBAECHEL -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DNEXTPNR_NAMESPACE=nextpnr_himbaechel -DNO_RUST -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -Dnextpnr_himbaechel_gatemate_EXPORTS -I/gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/include/python3.11 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/himbaechel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/common -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/frontend/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/json/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/rust/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/json11/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/place/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/route/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/oourafft/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/himbaechel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui -isystem /gnu/store/r94kayv6y93mj1hgc13k11klha366dsw-eigen-3.4.0/include/eigen3 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtWidgets -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtGui -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtCore -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/lib/qt5/mkspecs/linux-g++ -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -fdiagnostics-color=always -fPIC -MD -MT himbaechel/uarch/gatemate/CMakeFiles/nextpnr-himbaechel-gatemate.dir/__/__/__/common/route/router2.cc.o -MF CMakeFiles/nextpnr-himbaechel-gatemate.dir/__/__/__/common/route/router2.cc.o.d -o CMakeFiles/nextpnr-himbaechel-gatemate.dir/__/__/__/common/route/router2.cc.o -c /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/route/router2.cc [ 86%] Building CXX object himbaechel/uarch/gatemate/CMakeFiles/nextpnr-himbaechel-gatemate-test.dir/__/__/__/3rdparty/oourafft/fftsg2d.cc.o cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/himbaechel/uarch/gatemate && /gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/bin/c++ -DARCHNAME=himbaechel -DARCH_HIMBAECHEL -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DGTEST_LINKED_AS_SHARED_LIBRARY=1 -DNEXTPNR_NAMESPACE=nextpnr_himbaechel -DNO_RUST -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -Dnextpnr_himbaechel_gatemate_test_EXPORTS -I/gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/include/python3.11 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/himbaechel/uarch/gatemate/gtest_include_dir -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/himbaechel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/common -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/frontend/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/json/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/rust/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/json11/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/place/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/route/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/oourafft/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/himbaechel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui -isystem /gnu/store/r94kayv6y93mj1hgc13k11klha366dsw-eigen-3.4.0/include/eigen3 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtWidgets -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtGui -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtCore -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/lib/qt5/mkspecs/linux-g++ -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -fdiagnostics-color=always -fPIC -MD -MT himbaechel/uarch/gatemate/CMakeFiles/nextpnr-himbaechel-gatemate-test.dir/__/__/__/3rdparty/oourafft/fftsg2d.cc.o -MF CMakeFiles/nextpnr-himbaechel-gatemate-test.dir/__/__/__/3rdparty/oourafft/fftsg2d.cc.o.d -o CMakeFiles/nextpnr-himbaechel-gatemate-test.dir/__/__/__/3rdparty/oourafft/fftsg2d.cc.o -c /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/oourafft/fftsg2d.cc [ 86%] Building CXX object himbaechel/uarch/gatemate/CMakeFiles/nextpnr-himbaechel-gatemate-test.dir/__/__/__/3rdparty/oourafft/fftsg.cc.o cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/himbaechel/uarch/gatemate && /gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/bin/c++ -DARCHNAME=himbaechel -DARCH_HIMBAECHEL -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DGTEST_LINKED_AS_SHARED_LIBRARY=1 -DNEXTPNR_NAMESPACE=nextpnr_himbaechel -DNO_RUST -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -Dnextpnr_himbaechel_gatemate_test_EXPORTS -I/gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/include/python3.11 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/himbaechel/uarch/gatemate/gtest_include_dir -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/himbaechel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/common -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/frontend/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/json/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/rust/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/json11/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/place/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/route/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/oourafft/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/himbaechel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui -isystem /gnu/store/r94kayv6y93mj1hgc13k11klha366dsw-eigen-3.4.0/include/eigen3 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtWidgets -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtGui -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtCore -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/lib/qt5/mkspecs/linux-g++ -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -fdiagnostics-color=always -fPIC -MD -MT himbaechel/uarch/gatemate/CMakeFiles/nextpnr-himbaechel-gatemate-test.dir/__/__/__/3rdparty/oourafft/fftsg.cc.o -MF CMakeFiles/nextpnr-himbaechel-gatemate-test.dir/__/__/__/3rdparty/oourafft/fftsg.cc.o.d -o CMakeFiles/nextpnr-himbaechel-gatemate-test.dir/__/__/__/3rdparty/oourafft/fftsg.cc.o -c /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/oourafft/fftsg.cc [ 86%] Building CXX object himbaechel/uarch/gatemate/CMakeFiles/nextpnr-himbaechel-gatemate-test.dir/__/__/__/common/route/router2.cc.o cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/himbaechel/uarch/gatemate && /gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/bin/c++ -DARCHNAME=himbaechel -DARCH_HIMBAECHEL -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DGTEST_LINKED_AS_SHARED_LIBRARY=1 -DNEXTPNR_NAMESPACE=nextpnr_himbaechel -DNO_RUST -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -Dnextpnr_himbaechel_gatemate_test_EXPORTS -I/gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/include/python3.11 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/himbaechel/uarch/gatemate/gtest_include_dir -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/himbaechel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/common -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/frontend/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/json/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/rust/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/json11/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/place/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/route/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/oourafft/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/himbaechel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui -isystem /gnu/store/r94kayv6y93mj1hgc13k11klha366dsw-eigen-3.4.0/include/eigen3 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtWidgets -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtGui -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtCore -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/lib/qt5/mkspecs/linux-g++ -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -fdiagnostics-color=always -fPIC -MD -MT himbaechel/uarch/gatemate/CMakeFiles/nextpnr-himbaechel-gatemate-test.dir/__/__/__/common/route/router2.cc.o -MF CMakeFiles/nextpnr-himbaechel-gatemate-test.dir/__/__/__/common/route/router2.cc.o.d -o CMakeFiles/nextpnr-himbaechel-gatemate-test.dir/__/__/__/common/route/router2.cc.o -c /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/route/router2.cc [ 86%] Building CXX object himbaechel/uarch/gatemate/CMakeFiles/nextpnr-himbaechel-gatemate.dir/__/__/__/common/route/router1.cc.o cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/himbaechel/uarch/gatemate && /gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/bin/c++ -DARCHNAME=himbaechel -DARCH_HIMBAECHEL -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DNEXTPNR_NAMESPACE=nextpnr_himbaechel -DNO_RUST -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -Dnextpnr_himbaechel_gatemate_EXPORTS -I/gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/include/python3.11 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/himbaechel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/common -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/frontend/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/json/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/rust/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/json11/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/place/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/route/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/oourafft/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/himbaechel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui -isystem /gnu/store/r94kayv6y93mj1hgc13k11klha366dsw-eigen-3.4.0/include/eigen3 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtWidgets -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtGui -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtCore -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/lib/qt5/mkspecs/linux-g++ -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -fdiagnostics-color=always -fPIC -MD -MT himbaechel/uarch/gatemate/CMakeFiles/nextpnr-himbaechel-gatemate.dir/__/__/__/common/route/router1.cc.o -MF CMakeFiles/nextpnr-himbaechel-gatemate.dir/__/__/__/common/route/router1.cc.o.d -o CMakeFiles/nextpnr-himbaechel-gatemate.dir/__/__/__/common/route/router1.cc.o -c /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/route/router1.cc [ 87%] Building CXX object himbaechel/uarch/gatemate/CMakeFiles/nextpnr-himbaechel-gatemate-test.dir/__/__/__/common/route/router1.cc.o cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/himbaechel/uarch/gatemate && /gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/bin/c++ -DARCHNAME=himbaechel -DARCH_HIMBAECHEL -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DGTEST_LINKED_AS_SHARED_LIBRARY=1 -DNEXTPNR_NAMESPACE=nextpnr_himbaechel -DNO_RUST -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -Dnextpnr_himbaechel_gatemate_test_EXPORTS -I/gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/include/python3.11 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/himbaechel/uarch/gatemate/gtest_include_dir -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/himbaechel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/common -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/frontend/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/json/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/rust/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/json11/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/place/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/route/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/oourafft/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/himbaechel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui -isystem /gnu/store/r94kayv6y93mj1hgc13k11klha366dsw-eigen-3.4.0/include/eigen3 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtWidgets -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtGui -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtCore -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/lib/qt5/mkspecs/linux-g++ -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -fdiagnostics-color=always -fPIC -MD -MT himbaechel/uarch/gatemate/CMakeFiles/nextpnr-himbaechel-gatemate-test.dir/__/__/__/common/route/router1.cc.o -MF CMakeFiles/nextpnr-himbaechel-gatemate-test.dir/__/__/__/common/route/router1.cc.o.d -o CMakeFiles/nextpnr-himbaechel-gatemate-test.dir/__/__/__/common/route/router1.cc.o -c /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/route/router1.cc [ 88%] Building CXX object himbaechel/uarch/gatemate/CMakeFiles/nextpnr-himbaechel-gatemate.dir/__/__/__/common/place/timing_opt.cc.o [ 88%] Building CXX object himbaechel/uarch/gatemate/CMakeFiles/nextpnr-himbaechel-gatemate.dir/__/__/__/common/place/placer_static.cc.o cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/himbaechel/uarch/gatemate && /gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/bin/c++ -DARCHNAME=himbaechel -DARCH_HIMBAECHEL -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DNEXTPNR_NAMESPACE=nextpnr_himbaechel -DNO_RUST -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -Dnextpnr_himbaechel_gatemate_EXPORTS -I/gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/include/python3.11 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/himbaechel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/common -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/frontend/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/json/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/rust/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/json11/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/place/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/route/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/oourafft/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/himbaechel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui -isystem /gnu/store/r94kayv6y93mj1hgc13k11klha366dsw-eigen-3.4.0/include/eigen3 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtWidgets -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtGui -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtCore -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/lib/qt5/mkspecs/linux-g++ -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -fdiagnostics-color=always -fPIC -MD -MT himbaechel/uarch/gatemate/CMakeFiles/nextpnr-himbaechel-gatemate.dir/__/__/__/common/place/timing_opt.cc.o -MF CMakeFiles/nextpnr-himbaechel-gatemate.dir/__/__/__/common/place/timing_opt.cc.o.d -o CMakeFiles/nextpnr-himbaechel-gatemate.dir/__/__/__/common/place/timing_opt.cc.o -c /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/place/timing_opt.cc cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/himbaechel/uarch/gatemate && /gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/bin/c++ -DARCHNAME=himbaechel -DARCH_HIMBAECHEL -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DNEXTPNR_NAMESPACE=nextpnr_himbaechel -DNO_RUST -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -Dnextpnr_himbaechel_gatemate_EXPORTS -I/gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/include/python3.11 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/himbaechel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/common -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/frontend/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/json/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/rust/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/json11/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/place/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/route/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/oourafft/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/himbaechel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui -isystem /gnu/store/r94kayv6y93mj1hgc13k11klha366dsw-eigen-3.4.0/include/eigen3 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtWidgets -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtGui -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtCore -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/lib/qt5/mkspecs/linux-g++ -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -fdiagnostics-color=always -fPIC -MD -MT himbaechel/uarch/gatemate/CMakeFiles/nextpnr-himbaechel-gatemate.dir/__/__/__/common/place/placer_static.cc.o -MF CMakeFiles/nextpnr-himbaechel-gatemate.dir/__/__/__/common/place/placer_static.cc.o.d -o CMakeFiles/nextpnr-himbaechel-gatemate.dir/__/__/__/common/place/placer_static.cc.o -c /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/place/placer_static.cc [ 88%] Building CXX object himbaechel/uarch/gatemate/CMakeFiles/nextpnr-himbaechel-gatemate-test.dir/__/__/__/common/place/timing_opt.cc.o [ 88%] Building CXX object himbaechel/uarch/gatemate/CMakeFiles/nextpnr-himbaechel-gatemate-test.dir/__/__/__/common/place/placer_static.cc.o cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/himbaechel/uarch/gatemate && /gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/bin/c++ -DARCHNAME=himbaechel -DARCH_HIMBAECHEL -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DGTEST_LINKED_AS_SHARED_LIBRARY=1 -DNEXTPNR_NAMESPACE=nextpnr_himbaechel -DNO_RUST -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -Dnextpnr_himbaechel_gatemate_test_EXPORTS -I/gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/include/python3.11 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/himbaechel/uarch/gatemate/gtest_include_dir -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/himbaechel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/common -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/frontend/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/json/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/rust/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/json11/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/place/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/route/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/oourafft/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/himbaechel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui -isystem /gnu/store/r94kayv6y93mj1hgc13k11klha366dsw-eigen-3.4.0/include/eigen3 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtWidgets -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtGui -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtCore -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/lib/qt5/mkspecs/linux-g++ -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -fdiagnostics-color=always -fPIC -MD -MT himbaechel/uarch/gatemate/CMakeFiles/nextpnr-himbaechel-gatemate-test.dir/__/__/__/common/place/timing_opt.cc.o -MF CMakeFiles/nextpnr-himbaechel-gatemate-test.dir/__/__/__/common/place/timing_opt.cc.o.d -o CMakeFiles/nextpnr-himbaechel-gatemate-test.dir/__/__/__/common/place/timing_opt.cc.o -c /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/place/timing_opt.cc cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/himbaechel/uarch/gatemate && /gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/bin/c++ -DARCHNAME=himbaechel -DARCH_HIMBAECHEL -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DGTEST_LINKED_AS_SHARED_LIBRARY=1 -DNEXTPNR_NAMESPACE=nextpnr_himbaechel -DNO_RUST -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -Dnextpnr_himbaechel_gatemate_test_EXPORTS -I/gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/include/python3.11 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/himbaechel/uarch/gatemate/gtest_include_dir -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/himbaechel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/common -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/frontend/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/json/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/rust/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/json11/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/place/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/route/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/oourafft/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/himbaechel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui -isystem /gnu/store/r94kayv6y93mj1hgc13k11klha366dsw-eigen-3.4.0/include/eigen3 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtWidgets -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtGui -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtCore -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/lib/qt5/mkspecs/linux-g++ -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -fdiagnostics-color=always -fPIC -MD -MT himbaechel/uarch/gatemate/CMakeFiles/nextpnr-himbaechel-gatemate-test.dir/__/__/__/common/place/placer_static.cc.o -MF CMakeFiles/nextpnr-himbaechel-gatemate-test.dir/__/__/__/common/place/placer_static.cc.o.d -o CMakeFiles/nextpnr-himbaechel-gatemate-test.dir/__/__/__/common/place/placer_static.cc.o -c /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/place/placer_static.cc [ 88%] Building CXX object himbaechel/uarch/gatemate/CMakeFiles/nextpnr-himbaechel-gatemate.dir/__/__/__/common/place/placer_heap.cc.o cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/himbaechel/uarch/gatemate && /gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/bin/c++ -DARCHNAME=himbaechel -DARCH_HIMBAECHEL -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DNEXTPNR_NAMESPACE=nextpnr_himbaechel -DNO_RUST -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -Dnextpnr_himbaechel_gatemate_EXPORTS -I/gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/include/python3.11 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/himbaechel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/common -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/frontend/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/json/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/rust/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/json11/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/place/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/route/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/oourafft/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/himbaechel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui -isystem /gnu/store/r94kayv6y93mj1hgc13k11klha366dsw-eigen-3.4.0/include/eigen3 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtWidgets -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtGui -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtCore -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/lib/qt5/mkspecs/linux-g++ -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -fdiagnostics-color=always -fPIC -MD -MT himbaechel/uarch/gatemate/CMakeFiles/nextpnr-himbaechel-gatemate.dir/__/__/__/common/place/placer_heap.cc.o -MF CMakeFiles/nextpnr-himbaechel-gatemate.dir/__/__/__/common/place/placer_heap.cc.o.d -o CMakeFiles/nextpnr-himbaechel-gatemate.dir/__/__/__/common/place/placer_heap.cc.o -c /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/place/placer_heap.cc [ 88%] Building CXX object himbaechel/uarch/gatemate/CMakeFiles/nextpnr-himbaechel-gatemate-test.dir/__/__/__/common/place/placer_heap.cc.o cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/himbaechel/uarch/gatemate && /gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/bin/c++ -DARCHNAME=himbaechel -DARCH_HIMBAECHEL -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DGTEST_LINKED_AS_SHARED_LIBRARY=1 -DNEXTPNR_NAMESPACE=nextpnr_himbaechel -DNO_RUST -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -Dnextpnr_himbaechel_gatemate_test_EXPORTS -I/gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/include/python3.11 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/himbaechel/uarch/gatemate/gtest_include_dir -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/himbaechel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/common -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/frontend/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/json/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/rust/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/json11/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/place/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/route/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/oourafft/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/himbaechel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui -isystem /gnu/store/r94kayv6y93mj1hgc13k11klha366dsw-eigen-3.4.0/include/eigen3 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtWidgets -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtGui -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtCore -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/lib/qt5/mkspecs/linux-g++ -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -fdiagnostics-color=always -fPIC -MD -MT himbaechel/uarch/gatemate/CMakeFiles/nextpnr-himbaechel-gatemate-test.dir/__/__/__/common/place/placer_heap.cc.o -MF CMakeFiles/nextpnr-himbaechel-gatemate-test.dir/__/__/__/common/place/placer_heap.cc.o.d -o CMakeFiles/nextpnr-himbaechel-gatemate-test.dir/__/__/__/common/place/placer_heap.cc.o -c /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/place/placer_heap.cc [ 88%] Building CXX object himbaechel/uarch/gatemate/CMakeFiles/nextpnr-himbaechel-gatemate.dir/__/__/__/common/place/placer1.cc.o cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/himbaechel/uarch/gatemate && /gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/bin/c++ -DARCHNAME=himbaechel -DARCH_HIMBAECHEL -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DNEXTPNR_NAMESPACE=nextpnr_himbaechel -DNO_RUST -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -Dnextpnr_himbaechel_gatemate_EXPORTS -I/gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/include/python3.11 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/himbaechel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/common -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/frontend/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/json/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/rust/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/json11/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/place/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/route/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/oourafft/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/himbaechel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui -isystem /gnu/store/r94kayv6y93mj1hgc13k11klha366dsw-eigen-3.4.0/include/eigen3 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtWidgets -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtGui -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtCore -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/lib/qt5/mkspecs/linux-g++ -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -fdiagnostics-color=always -fPIC -MD -MT himbaechel/uarch/gatemate/CMakeFiles/nextpnr-himbaechel-gatemate.dir/__/__/__/common/place/placer1.cc.o -MF CMakeFiles/nextpnr-himbaechel-gatemate.dir/__/__/__/common/place/placer1.cc.o.d -o CMakeFiles/nextpnr-himbaechel-gatemate.dir/__/__/__/common/place/placer1.cc.o -c /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/place/placer1.cc [ 88%] Building CXX object himbaechel/uarch/gatemate/CMakeFiles/nextpnr-himbaechel-gatemate-test.dir/__/__/__/common/place/placer1.cc.o cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/himbaechel/uarch/gatemate && /gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/bin/c++ -DARCHNAME=himbaechel -DARCH_HIMBAECHEL -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DGTEST_LINKED_AS_SHARED_LIBRARY=1 -DNEXTPNR_NAMESPACE=nextpnr_himbaechel -DNO_RUST -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -Dnextpnr_himbaechel_gatemate_test_EXPORTS -I/gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/include/python3.11 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/himbaechel/uarch/gatemate/gtest_include_dir -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/himbaechel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/common -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/frontend/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/json/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/rust/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/json11/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/place/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/route/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/oourafft/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/himbaechel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui -isystem /gnu/store/r94kayv6y93mj1hgc13k11klha366dsw-eigen-3.4.0/include/eigen3 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtWidgets -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtGui -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtCore -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/lib/qt5/mkspecs/linux-g++ -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -fdiagnostics-color=always -fPIC -MD -MT himbaechel/uarch/gatemate/CMakeFiles/nextpnr-himbaechel-gatemate-test.dir/__/__/__/common/place/placer1.cc.o -MF CMakeFiles/nextpnr-himbaechel-gatemate-test.dir/__/__/__/common/place/placer1.cc.o.d -o CMakeFiles/nextpnr-himbaechel-gatemate-test.dir/__/__/__/common/place/placer1.cc.o -c /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/place/placer1.cc [ 88%] Building CXX object himbaechel/uarch/gatemate/CMakeFiles/nextpnr-himbaechel-gatemate.dir/__/__/__/common/place/place_common.cc.o cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/himbaechel/uarch/gatemate && /gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/bin/c++ -DARCHNAME=himbaechel -DARCH_HIMBAECHEL -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DNEXTPNR_NAMESPACE=nextpnr_himbaechel -DNO_RUST -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -Dnextpnr_himbaechel_gatemate_EXPORTS -I/gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/include/python3.11 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/himbaechel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/common -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/frontend/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/json/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/rust/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/json11/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/place/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/route/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/oourafft/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/himbaechel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui -isystem /gnu/store/r94kayv6y93mj1hgc13k11klha366dsw-eigen-3.4.0/include/eigen3 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtWidgets -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtGui -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtCore -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/lib/qt5/mkspecs/linux-g++ -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -fdiagnostics-color=always -fPIC -MD -MT himbaechel/uarch/gatemate/CMakeFiles/nextpnr-himbaechel-gatemate.dir/__/__/__/common/place/place_common.cc.o -MF CMakeFiles/nextpnr-himbaechel-gatemate.dir/__/__/__/common/place/place_common.cc.o.d -o CMakeFiles/nextpnr-himbaechel-gatemate.dir/__/__/__/common/place/place_common.cc.o -c /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/place/place_common.cc [ 89%] Building CXX object himbaechel/uarch/gatemate/CMakeFiles/nextpnr-himbaechel-gatemate-test.dir/__/__/__/json/jsonwrite.cc.o [ 89%] Building CXX object himbaechel/uarch/gatemate/CMakeFiles/nextpnr-himbaechel-gatemate-test.dir/__/__/__/common/place/place_common.cc.o [ 89%] Building CXX object himbaechel/uarch/gatemate/CMakeFiles/nextpnr-himbaechel-gatemate-test.dir/__/__/__/frontend/json_frontend.cc.o [ 89%] Building CXX object himbaechel/uarch/gatemate/CMakeFiles/nextpnr-himbaechel-gatemate-test.dir/__/__/__/common/place/parallel_refine.cc.o [ 89%] Building CXX object himbaechel/uarch/gatemate/CMakeFiles/nextpnr-himbaechel-gatemate-test.dir/__/__/__/common/place/detail_place_core.cc.o [ 89%] Building CXX object himbaechel/uarch/gatemate/CMakeFiles/nextpnr-himbaechel-gatemate-test.dir/__/__/__/common/kernel/timing_log.cc.o cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/himbaechel/uarch/gatemate && /gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/bin/c++ -DARCHNAME=himbaechel -DARCH_HIMBAECHEL -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DGTEST_LINKED_AS_SHARED_LIBRARY=1 -DNEXTPNR_NAMESPACE=nextpnr_himbaechel -DNO_RUST -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -Dnextpnr_himbaechel_gatemate_test_EXPORTS -I/gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/include/python3.11 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/himbaechel/uarch/gatemate/gtest_include_dir -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/himbaechel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/common -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/frontend/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/json/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/rust/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/json11/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/place/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/route/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/oourafft/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/himbaechel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui -isystem /gnu/store/r94kayv6y93mj1hgc13k11klha366dsw-eigen-3.4.0/include/eigen3 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtWidgets -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtGui -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtCore -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/lib/qt5/mkspecs/linux-g++ -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -fdiagnostics-color=always -fPIC -MD -MT himbaechel/uarch/gatemate/CMakeFiles/nextpnr-himbaechel-gatemate-test.dir/__/__/__/common/place/parallel_refine.cc.o -MF CMakeFiles/nextpnr-himbaechel-gatemate-test.dir/__/__/__/common/place/parallel_refine.cc.o.d -o CMakeFiles/nextpnr-himbaechel-gatemate-test.dir/__/__/__/common/place/parallel_refine.cc.o -c /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/place/parallel_refine.cc cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/himbaechel/uarch/gatemate && /gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/bin/c++ -DARCHNAME=himbaechel -DARCH_HIMBAECHEL -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DGTEST_LINKED_AS_SHARED_LIBRARY=1 -DNEXTPNR_NAMESPACE=nextpnr_himbaechel -DNO_RUST -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -Dnextpnr_himbaechel_gatemate_test_EXPORTS -I/gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/include/python3.11 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/himbaechel/uarch/gatemate/gtest_include_dir -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/himbaechel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/common -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/frontend/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/json/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/rust/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/json11/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/place/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/route/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/oourafft/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/himbaechel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui -isystem /gnu/store/r94kayv6y93mj1hgc13k11klha366dsw-eigen-3.4.0/include/eigen3 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtWidgets -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtGui -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtCore -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/lib/qt5/mkspecs/linux-g++ -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -fdiagnostics-color=always -fPIC -MD -MT himbaechel/uarch/gatemate/CMakeFiles/nextpnr-himbaechel-gatemate-test.dir/__/__/__/common/place/detail_place_core.cc.o -MF CMakeFiles/nextpnr-himbaechel-gatemate-test.dir/__/__/__/common/place/detail_place_core.cc.o.d -o CMakeFiles/nextpnr-himbaechel-gatemate-test.dir/__/__/__/common/place/detail_place_core.cc.o -c /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/place/detail_place_core.cc cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/himbaechel/uarch/gatemate && /gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/bin/c++ -DARCHNAME=himbaechel -DARCH_HIMBAECHEL -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DGTEST_LINKED_AS_SHARED_LIBRARY=1 -DNEXTPNR_NAMESPACE=nextpnr_himbaechel -DNO_RUST -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -Dnextpnr_himbaechel_gatemate_test_EXPORTS -I/gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/include/python3.11 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/himbaechel/uarch/gatemate/gtest_include_dir -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/himbaechel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/common -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/frontend/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/json/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/rust/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/json11/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/place/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/route/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/oourafft/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/himbaechel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui -isystem /gnu/store/r94kayv6y93mj1hgc13k11klha366dsw-eigen-3.4.0/include/eigen3 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtWidgets -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtGui -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtCore -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/lib/qt5/mkspecs/linux-g++ -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -fdiagnostics-color=always -fPIC -MD -MT himbaechel/uarch/gatemate/CMakeFiles/nextpnr-himbaechel-gatemate-test.dir/__/__/__/json/jsonwrite.cc.o -MF CMakeFiles/nextpnr-himbaechel-gatemate-test.dir/__/__/__/json/jsonwrite.cc.o.d -o CMakeFiles/nextpnr-himbaechel-gatemate-test.dir/__/__/__/json/jsonwrite.cc.o -c /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/json/jsonwrite.cc cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/himbaechel/uarch/gatemate && /gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/bin/c++ -DARCHNAME=himbaechel -DARCH_HIMBAECHEL -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DGTEST_LINKED_AS_SHARED_LIBRARY=1 -DNEXTPNR_NAMESPACE=nextpnr_himbaechel -DNO_RUST -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -Dnextpnr_himbaechel_gatemate_test_EXPORTS -I/gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/include/python3.11 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/himbaechel/uarch/gatemate/gtest_include_dir -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/himbaechel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/common -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/frontend/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/json/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/rust/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/json11/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/place/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/route/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/oourafft/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/himbaechel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui -isystem /gnu/store/r94kayv6y93mj1hgc13k11klha366dsw-eigen-3.4.0/include/eigen3 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtWidgets -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtGui -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtCore -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/lib/qt5/mkspecs/linux-g++ -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -fdiagnostics-color=always -fPIC -MD -MT himbaechel/uarch/gatemate/CMakeFiles/nextpnr-himbaechel-gatemate-test.dir/__/__/__/common/place/place_common.cc.o -MF CMakeFiles/nextpnr-himbaechel-gatemate-test.dir/__/__/__/common/place/place_common.cc.o.d -o CMakeFiles/nextpnr-himbaechel-gatemate-test.dir/__/__/__/common/place/place_common.cc.o -c /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/place/place_common.cc cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/himbaechel/uarch/gatemate && /gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/bin/c++ -DARCHNAME=himbaechel -DARCH_HIMBAECHEL -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DGTEST_LINKED_AS_SHARED_LIBRARY=1 -DNEXTPNR_NAMESPACE=nextpnr_himbaechel -DNO_RUST -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -Dnextpnr_himbaechel_gatemate_test_EXPORTS -I/gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/include/python3.11 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/himbaechel/uarch/gatemate/gtest_include_dir -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/himbaechel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/common -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/frontend/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/json/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/rust/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/json11/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/place/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/route/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/oourafft/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/himbaechel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui -isystem /gnu/store/r94kayv6y93mj1hgc13k11klha366dsw-eigen-3.4.0/include/eigen3 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtWidgets -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtGui -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtCore -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/lib/qt5/mkspecs/linux-g++ -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -fdiagnostics-color=always -fPIC -MD -MT himbaechel/uarch/gatemate/CMakeFiles/nextpnr-himbaechel-gatemate-test.dir/__/__/__/frontend/json_frontend.cc.o -MF CMakeFiles/nextpnr-himbaechel-gatemate-test.dir/__/__/__/frontend/json_frontend.cc.o.d -o CMakeFiles/nextpnr-himbaechel-gatemate-test.dir/__/__/__/frontend/json_frontend.cc.o -c /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/frontend/json_frontend.cc cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/himbaechel/uarch/gatemate && /gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/bin/c++ -DARCHNAME=himbaechel -DARCH_HIMBAECHEL -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DGTEST_LINKED_AS_SHARED_LIBRARY=1 -DNEXTPNR_NAMESPACE=nextpnr_himbaechel -DNO_RUST -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -Dnextpnr_himbaechel_gatemate_test_EXPORTS -I/gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/include/python3.11 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/himbaechel/uarch/gatemate/gtest_include_dir -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/himbaechel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/common -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/frontend/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/json/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/rust/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/json11/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/place/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/route/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/oourafft/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/himbaechel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui -isystem /gnu/store/r94kayv6y93mj1hgc13k11klha366dsw-eigen-3.4.0/include/eigen3 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtWidgets -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtGui -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtCore -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/lib/qt5/mkspecs/linux-g++ -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -fdiagnostics-color=always -fPIC -MD -MT himbaechel/uarch/gatemate/CMakeFiles/nextpnr-himbaechel-gatemate-test.dir/__/__/__/common/kernel/timing_log.cc.o -MF CMakeFiles/nextpnr-himbaechel-gatemate-test.dir/__/__/__/common/kernel/timing_log.cc.o.d -o CMakeFiles/nextpnr-himbaechel-gatemate-test.dir/__/__/__/common/kernel/timing_log.cc.o -c /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/timing_log.cc [ 89%] Building CXX object himbaechel/uarch/gatemate/CMakeFiles/nextpnr-himbaechel-gatemate.dir/__/__/__/common/place/parallel_refine.cc.o cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/himbaechel/uarch/gatemate && /gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/bin/c++ -DARCHNAME=himbaechel -DARCH_HIMBAECHEL -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DNEXTPNR_NAMESPACE=nextpnr_himbaechel -DNO_RUST -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -Dnextpnr_himbaechel_gatemate_EXPORTS -I/gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/include/python3.11 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/himbaechel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/common -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/frontend/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/json/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/rust/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/json11/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/place/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/route/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/oourafft/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/himbaechel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui -isystem /gnu/store/r94kayv6y93mj1hgc13k11klha366dsw-eigen-3.4.0/include/eigen3 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtWidgets -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtGui -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtCore -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/lib/qt5/mkspecs/linux-g++ -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -fdiagnostics-color=always -fPIC -MD -MT himbaechel/uarch/gatemate/CMakeFiles/nextpnr-himbaechel-gatemate.dir/__/__/__/common/place/parallel_refine.cc.o -MF CMakeFiles/nextpnr-himbaechel-gatemate.dir/__/__/__/common/place/parallel_refine.cc.o.d -o CMakeFiles/nextpnr-himbaechel-gatemate.dir/__/__/__/common/place/parallel_refine.cc.o -c /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/place/parallel_refine.cc [ 89%] Building CXX object himbaechel/uarch/gatemate/CMakeFiles/nextpnr-himbaechel-gatemate-test.dir/__/__/__/common/kernel/timing.cc.o cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/himbaechel/uarch/gatemate && /gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/bin/c++ -DARCHNAME=himbaechel -DARCH_HIMBAECHEL -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DGTEST_LINKED_AS_SHARED_LIBRARY=1 -DNEXTPNR_NAMESPACE=nextpnr_himbaechel -DNO_RUST -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -Dnextpnr_himbaechel_gatemate_test_EXPORTS -I/gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/include/python3.11 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/himbaechel/uarch/gatemate/gtest_include_dir -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/himbaechel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/common -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/frontend/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/json/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/rust/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/json11/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/place/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/route/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/oourafft/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/himbaechel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui -isystem /gnu/store/r94kayv6y93mj1hgc13k11klha366dsw-eigen-3.4.0/include/eigen3 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtWidgets -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtGui -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtCore -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/lib/qt5/mkspecs/linux-g++ -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -fdiagnostics-color=always -fPIC -MD -MT himbaechel/uarch/gatemate/CMakeFiles/nextpnr-himbaechel-gatemate-test.dir/__/__/__/common/kernel/timing.cc.o -MF CMakeFiles/nextpnr-himbaechel-gatemate-test.dir/__/__/__/common/kernel/timing.cc.o.d -o CMakeFiles/nextpnr-himbaechel-gatemate-test.dir/__/__/__/common/kernel/timing.cc.o -c /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/timing.cc [ 89%] Building CXX object himbaechel/uarch/gatemate/CMakeFiles/nextpnr-himbaechel-gatemate.dir/__/__/__/common/place/detail_place_core.cc.o cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/himbaechel/uarch/gatemate && /gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/bin/c++ -DARCHNAME=himbaechel -DARCH_HIMBAECHEL -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DNEXTPNR_NAMESPACE=nextpnr_himbaechel -DNO_RUST -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -Dnextpnr_himbaechel_gatemate_EXPORTS -I/gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/include/python3.11 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/himbaechel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/common -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/frontend/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/json/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/rust/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/json11/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/place/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/route/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/oourafft/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/himbaechel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui -isystem /gnu/store/r94kayv6y93mj1hgc13k11klha366dsw-eigen-3.4.0/include/eigen3 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtWidgets -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtGui -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtCore -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/lib/qt5/mkspecs/linux-g++ -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -fdiagnostics-color=always -fPIC -MD -MT himbaechel/uarch/gatemate/CMakeFiles/nextpnr-himbaechel-gatemate.dir/__/__/__/common/place/detail_place_core.cc.o -MF CMakeFiles/nextpnr-himbaechel-gatemate.dir/__/__/__/common/place/detail_place_core.cc.o.d -o CMakeFiles/nextpnr-himbaechel-gatemate.dir/__/__/__/common/place/detail_place_core.cc.o -c /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/place/detail_place_core.cc [ 89%] Building CXX object himbaechel/uarch/gatemate/CMakeFiles/nextpnr-himbaechel-gatemate.dir/__/__/__/json/jsonwrite.cc.o cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/himbaechel/uarch/gatemate && /gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/bin/c++ -DARCHNAME=himbaechel -DARCH_HIMBAECHEL -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DNEXTPNR_NAMESPACE=nextpnr_himbaechel -DNO_RUST -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -Dnextpnr_himbaechel_gatemate_EXPORTS -I/gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/include/python3.11 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/himbaechel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/common -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/frontend/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/json/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/rust/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/json11/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/place/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/route/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/oourafft/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/himbaechel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui -isystem /gnu/store/r94kayv6y93mj1hgc13k11klha366dsw-eigen-3.4.0/include/eigen3 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtWidgets -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtGui -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtCore -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/lib/qt5/mkspecs/linux-g++ -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -fdiagnostics-color=always -fPIC -MD -MT himbaechel/uarch/gatemate/CMakeFiles/nextpnr-himbaechel-gatemate.dir/__/__/__/json/jsonwrite.cc.o -MF CMakeFiles/nextpnr-himbaechel-gatemate.dir/__/__/__/json/jsonwrite.cc.o.d -o CMakeFiles/nextpnr-himbaechel-gatemate.dir/__/__/__/json/jsonwrite.cc.o -c /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/json/jsonwrite.cc [ 89%] Building CXX object himbaechel/uarch/gatemate/CMakeFiles/nextpnr-himbaechel-gatemate-test.dir/__/__/__/common/kernel/svg.cc.o cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/himbaechel/uarch/gatemate && /gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/bin/c++ -DARCHNAME=himbaechel -DARCH_HIMBAECHEL -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DGTEST_LINKED_AS_SHARED_LIBRARY=1 -DNEXTPNR_NAMESPACE=nextpnr_himbaechel -DNO_RUST -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -Dnextpnr_himbaechel_gatemate_test_EXPORTS -I/gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/include/python3.11 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/himbaechel/uarch/gatemate/gtest_include_dir -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/himbaechel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/common -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/frontend/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/json/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/rust/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/json11/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/place/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/route/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/oourafft/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/himbaechel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui -isystem /gnu/store/r94kayv6y93mj1hgc13k11klha366dsw-eigen-3.4.0/include/eigen3 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtWidgets -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtGui -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtCore -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/lib/qt5/mkspecs/linux-g++ -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -fdiagnostics-color=always -fPIC -MD -MT himbaechel/uarch/gatemate/CMakeFiles/nextpnr-himbaechel-gatemate-test.dir/__/__/__/common/kernel/svg.cc.o -MF CMakeFiles/nextpnr-himbaechel-gatemate-test.dir/__/__/__/common/kernel/svg.cc.o.d -o CMakeFiles/nextpnr-himbaechel-gatemate-test.dir/__/__/__/common/kernel/svg.cc.o -c /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/svg.cc [ 89%] Building CXX object himbaechel/uarch/gatemate/CMakeFiles/nextpnr-himbaechel-gatemate.dir/__/__/__/frontend/json_frontend.cc.o cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/himbaechel/uarch/gatemate && /gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/bin/c++ -DARCHNAME=himbaechel -DARCH_HIMBAECHEL -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DNEXTPNR_NAMESPACE=nextpnr_himbaechel -DNO_RUST -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -Dnextpnr_himbaechel_gatemate_EXPORTS -I/gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/include/python3.11 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/himbaechel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/common -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/frontend/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/json/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/rust/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/json11/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/place/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/route/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/oourafft/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/himbaechel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui -isystem /gnu/store/r94kayv6y93mj1hgc13k11klha366dsw-eigen-3.4.0/include/eigen3 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtWidgets -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtGui -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtCore -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/lib/qt5/mkspecs/linux-g++ -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -fdiagnostics-color=always -fPIC -MD -MT himbaechel/uarch/gatemate/CMakeFiles/nextpnr-himbaechel-gatemate.dir/__/__/__/frontend/json_frontend.cc.o -MF CMakeFiles/nextpnr-himbaechel-gatemate.dir/__/__/__/frontend/json_frontend.cc.o.d -o CMakeFiles/nextpnr-himbaechel-gatemate.dir/__/__/__/frontend/json_frontend.cc.o -c /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/frontend/json_frontend.cc [ 89%] Building CXX object himbaechel/uarch/gatemate/CMakeFiles/nextpnr-himbaechel-gatemate-test.dir/__/__/__/common/kernel/str_ring_buffer.cc.o cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/himbaechel/uarch/gatemate && /gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/bin/c++ -DARCHNAME=himbaechel -DARCH_HIMBAECHEL -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DGTEST_LINKED_AS_SHARED_LIBRARY=1 -DNEXTPNR_NAMESPACE=nextpnr_himbaechel -DNO_RUST -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -Dnextpnr_himbaechel_gatemate_test_EXPORTS -I/gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/include/python3.11 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/himbaechel/uarch/gatemate/gtest_include_dir -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/himbaechel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/common -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/frontend/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/json/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/rust/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/json11/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/place/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/route/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/oourafft/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/himbaechel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui -isystem /gnu/store/r94kayv6y93mj1hgc13k11klha366dsw-eigen-3.4.0/include/eigen3 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtWidgets -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtGui -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtCore -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/lib/qt5/mkspecs/linux-g++ -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -fdiagnostics-color=always -fPIC -MD -MT himbaechel/uarch/gatemate/CMakeFiles/nextpnr-himbaechel-gatemate-test.dir/__/__/__/common/kernel/str_ring_buffer.cc.o -MF CMakeFiles/nextpnr-himbaechel-gatemate-test.dir/__/__/__/common/kernel/str_ring_buffer.cc.o.d -o CMakeFiles/nextpnr-himbaechel-gatemate-test.dir/__/__/__/common/kernel/str_ring_buffer.cc.o -c /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/str_ring_buffer.cc [ 89%] Building CXX object himbaechel/uarch/gatemate/CMakeFiles/nextpnr-himbaechel-gatemate-test.dir/__/__/__/common/kernel/sdf.cc.o cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/himbaechel/uarch/gatemate && /gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/bin/c++ -DARCHNAME=himbaechel -DARCH_HIMBAECHEL -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DGTEST_LINKED_AS_SHARED_LIBRARY=1 -DNEXTPNR_NAMESPACE=nextpnr_himbaechel -DNO_RUST -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -Dnextpnr_himbaechel_gatemate_test_EXPORTS -I/gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/include/python3.11 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/himbaechel/uarch/gatemate/gtest_include_dir -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/himbaechel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/common -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/frontend/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/json/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/rust/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/json11/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/place/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/route/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/oourafft/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/himbaechel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui -isystem /gnu/store/r94kayv6y93mj1hgc13k11klha366dsw-eigen-3.4.0/include/eigen3 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtWidgets -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtGui -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtCore -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/lib/qt5/mkspecs/linux-g++ -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -fdiagnostics-color=always -fPIC -MD -MT himbaechel/uarch/gatemate/CMakeFiles/nextpnr-himbaechel-gatemate-test.dir/__/__/__/common/kernel/sdf.cc.o -MF CMakeFiles/nextpnr-himbaechel-gatemate-test.dir/__/__/__/common/kernel/sdf.cc.o.d -o CMakeFiles/nextpnr-himbaechel-gatemate-test.dir/__/__/__/common/kernel/sdf.cc.o -c /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/sdf.cc [ 89%] Building CXX object himbaechel/uarch/gatemate/CMakeFiles/nextpnr-himbaechel-gatemate-test.dir/__/__/__/common/kernel/sdc.cc.o cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/himbaechel/uarch/gatemate && /gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/bin/c++ -DARCHNAME=himbaechel -DARCH_HIMBAECHEL -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DGTEST_LINKED_AS_SHARED_LIBRARY=1 -DNEXTPNR_NAMESPACE=nextpnr_himbaechel -DNO_RUST -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -Dnextpnr_himbaechel_gatemate_test_EXPORTS -I/gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/include/python3.11 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/himbaechel/uarch/gatemate/gtest_include_dir -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/himbaechel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/common -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/frontend/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/json/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/rust/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/json11/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/place/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/route/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/oourafft/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/himbaechel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui -isystem /gnu/store/r94kayv6y93mj1hgc13k11klha366dsw-eigen-3.4.0/include/eigen3 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtWidgets -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtGui -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtCore -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/lib/qt5/mkspecs/linux-g++ -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -fdiagnostics-color=always -fPIC -MD -MT himbaechel/uarch/gatemate/CMakeFiles/nextpnr-himbaechel-gatemate-test.dir/__/__/__/common/kernel/sdc.cc.o -MF CMakeFiles/nextpnr-himbaechel-gatemate-test.dir/__/__/__/common/kernel/sdc.cc.o.d -o CMakeFiles/nextpnr-himbaechel-gatemate-test.dir/__/__/__/common/kernel/sdc.cc.o -c /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/sdc.cc [ 89%] Building CXX object himbaechel/uarch/gatemate/CMakeFiles/nextpnr-himbaechel-gatemate.dir/__/__/__/common/kernel/timing_log.cc.o cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/himbaechel/uarch/gatemate && /gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/bin/c++ -DARCHNAME=himbaechel -DARCH_HIMBAECHEL -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DNEXTPNR_NAMESPACE=nextpnr_himbaechel -DNO_RUST -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -Dnextpnr_himbaechel_gatemate_EXPORTS -I/gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/include/python3.11 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/himbaechel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/common -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/frontend/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/json/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/rust/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/json11/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/place/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/route/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/oourafft/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/himbaechel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui -isystem /gnu/store/r94kayv6y93mj1hgc13k11klha366dsw-eigen-3.4.0/include/eigen3 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtWidgets -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtGui -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtCore -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/lib/qt5/mkspecs/linux-g++ -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -fdiagnostics-color=always -fPIC -MD -MT himbaechel/uarch/gatemate/CMakeFiles/nextpnr-himbaechel-gatemate.dir/__/__/__/common/kernel/timing_log.cc.o -MF CMakeFiles/nextpnr-himbaechel-gatemate.dir/__/__/__/common/kernel/timing_log.cc.o.d -o CMakeFiles/nextpnr-himbaechel-gatemate.dir/__/__/__/common/kernel/timing_log.cc.o -c /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/timing_log.cc [ 90%] Building CXX object himbaechel/uarch/gatemate/CMakeFiles/nextpnr-himbaechel-gatemate-test.dir/__/__/__/common/kernel/pybindings.cc.o cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/himbaechel/uarch/gatemate && /gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/bin/c++ -DARCHNAME=himbaechel -DARCH_HIMBAECHEL -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DGTEST_LINKED_AS_SHARED_LIBRARY=1 -DNEXTPNR_NAMESPACE=nextpnr_himbaechel -DNO_RUST -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -Dnextpnr_himbaechel_gatemate_test_EXPORTS -I/gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/include/python3.11 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/himbaechel/uarch/gatemate/gtest_include_dir -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/himbaechel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/common -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/frontend/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/json/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/rust/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/json11/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/place/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/route/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/oourafft/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/himbaechel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui -isystem /gnu/store/r94kayv6y93mj1hgc13k11klha366dsw-eigen-3.4.0/include/eigen3 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtWidgets -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtGui -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtCore -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/lib/qt5/mkspecs/linux-g++ -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -fdiagnostics-color=always -fPIC -MD -MT himbaechel/uarch/gatemate/CMakeFiles/nextpnr-himbaechel-gatemate-test.dir/__/__/__/common/kernel/pybindings.cc.o -MF CMakeFiles/nextpnr-himbaechel-gatemate-test.dir/__/__/__/common/kernel/pybindings.cc.o.d -o CMakeFiles/nextpnr-himbaechel-gatemate-test.dir/__/__/__/common/kernel/pybindings.cc.o -c /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/pybindings.cc [ 90%] Building CXX object himbaechel/uarch/gatemate/CMakeFiles/nextpnr-himbaechel-gatemate-test.dir/__/__/__/common/kernel/report.cc.o cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/himbaechel/uarch/gatemate && /gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/bin/c++ -DARCHNAME=himbaechel -DARCH_HIMBAECHEL -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DGTEST_LINKED_AS_SHARED_LIBRARY=1 -DNEXTPNR_NAMESPACE=nextpnr_himbaechel -DNO_RUST -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -Dnextpnr_himbaechel_gatemate_test_EXPORTS -I/gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/include/python3.11 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/himbaechel/uarch/gatemate/gtest_include_dir -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/himbaechel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/common -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/frontend/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/json/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/rust/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/json11/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/place/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/route/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/oourafft/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/himbaechel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui -isystem /gnu/store/r94kayv6y93mj1hgc13k11klha366dsw-eigen-3.4.0/include/eigen3 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtWidgets -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtGui -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtCore -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/lib/qt5/mkspecs/linux-g++ -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -fdiagnostics-color=always -fPIC -MD -MT himbaechel/uarch/gatemate/CMakeFiles/nextpnr-himbaechel-gatemate-test.dir/__/__/__/common/kernel/report.cc.o -MF CMakeFiles/nextpnr-himbaechel-gatemate-test.dir/__/__/__/common/kernel/report.cc.o.d -o CMakeFiles/nextpnr-himbaechel-gatemate-test.dir/__/__/__/common/kernel/report.cc.o -c /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/report.cc [ 91%] Building CXX object himbaechel/uarch/gatemate/CMakeFiles/nextpnr-himbaechel-gatemate.dir/__/__/__/common/kernel/sdf.cc.o [ 91%] Building CXX object himbaechel/uarch/gatemate/CMakeFiles/nextpnr-himbaechel-gatemate.dir/__/__/__/common/kernel/sdc.cc.o [ 91%] Building CXX object himbaechel/uarch/gatemate/CMakeFiles/nextpnr-himbaechel-gatemate.dir/__/__/__/common/kernel/str_ring_buffer.cc.o [ 91%] Building CXX object himbaechel/uarch/gatemate/CMakeFiles/nextpnr-himbaechel-gatemate.dir/__/__/__/common/kernel/svg.cc.o [ 91%] Building CXX object himbaechel/uarch/gatemate/CMakeFiles/nextpnr-himbaechel-gatemate.dir/__/__/__/common/kernel/timing.cc.o [ 91%] Building CXX object himbaechel/uarch/gatemate/CMakeFiles/nextpnr-himbaechel-gatemate.dir/__/__/__/common/kernel/report.cc.o cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/himbaechel/uarch/gatemate && /gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/bin/c++ -DARCHNAME=himbaechel -DARCH_HIMBAECHEL -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DNEXTPNR_NAMESPACE=nextpnr_himbaechel -DNO_RUST -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -Dnextpnr_himbaechel_gatemate_EXPORTS -I/gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/include/python3.11 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/himbaechel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/common -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/frontend/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/json/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/rust/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/json11/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/place/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/route/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/oourafft/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/himbaechel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui -isystem /gnu/store/r94kayv6y93mj1hgc13k11klha366dsw-eigen-3.4.0/include/eigen3 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtWidgets -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtGui -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtCore -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/lib/qt5/mkspecs/linux-g++ -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -fdiagnostics-color=always -fPIC -MD -MT himbaechel/uarch/gatemate/CMakeFiles/nextpnr-himbaechel-gatemate.dir/__/__/__/common/kernel/timing.cc.o -MF CMakeFiles/nextpnr-himbaechel-gatemate.dir/__/__/__/common/kernel/timing.cc.o.d -o CMakeFiles/nextpnr-himbaechel-gatemate.dir/__/__/__/common/kernel/timing.cc.o -c /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/timing.cc cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/himbaechel/uarch/gatemate && /gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/bin/c++ -DARCHNAME=himbaechel -DARCH_HIMBAECHEL -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DNEXTPNR_NAMESPACE=nextpnr_himbaechel -DNO_RUST -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -Dnextpnr_himbaechel_gatemate_EXPORTS -I/gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/include/python3.11 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/himbaechel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/common -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/frontend/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/json/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/rust/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/json11/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/place/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/route/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/oourafft/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/himbaechel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui -isystem /gnu/store/r94kayv6y93mj1hgc13k11klha366dsw-eigen-3.4.0/include/eigen3 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtWidgets -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtGui -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtCore -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/lib/qt5/mkspecs/linux-g++ -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -fdiagnostics-color=always -fPIC -MD -MT himbaechel/uarch/gatemate/CMakeFiles/nextpnr-himbaechel-gatemate.dir/__/__/__/common/kernel/svg.cc.o -MF CMakeFiles/nextpnr-himbaechel-gatemate.dir/__/__/__/common/kernel/svg.cc.o.d -o CMakeFiles/nextpnr-himbaechel-gatemate.dir/__/__/__/common/kernel/svg.cc.o -c /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/svg.cc cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/himbaechel/uarch/gatemate && /gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/bin/c++ -DARCHNAME=himbaechel -DARCH_HIMBAECHEL -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DNEXTPNR_NAMESPACE=nextpnr_himbaechel -DNO_RUST -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -Dnextpnr_himbaechel_gatemate_EXPORTS -I/gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/include/python3.11 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/himbaechel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/common -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/frontend/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/json/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/rust/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/json11/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/place/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/route/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/oourafft/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/himbaechel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui -isystem /gnu/store/r94kayv6y93mj1hgc13k11klha366dsw-eigen-3.4.0/include/eigen3 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtWidgets -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtGui -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtCore -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/lib/qt5/mkspecs/linux-g++ -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -fdiagnostics-color=always -fPIC -MD -MT himbaechel/uarch/gatemate/CMakeFiles/nextpnr-himbaechel-gatemate.dir/__/__/__/common/kernel/str_ring_buffer.cc.o -MF CMakeFiles/nextpnr-himbaechel-gatemate.dir/__/__/__/common/kernel/str_ring_buffer.cc.o.d -o CMakeFiles/nextpnr-himbaechel-gatemate.dir/__/__/__/common/kernel/str_ring_buffer.cc.o -c /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/str_ring_buffer.cc cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/himbaechel/uarch/gatemate && /gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/bin/c++ -DARCHNAME=himbaechel -DARCH_HIMBAECHEL -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DNEXTPNR_NAMESPACE=nextpnr_himbaechel -DNO_RUST -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -Dnextpnr_himbaechel_gatemate_EXPORTS -I/gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/include/python3.11 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/himbaechel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/common -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/frontend/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/json/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/rust/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/json11/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/place/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/route/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/oourafft/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/himbaechel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui -isystem /gnu/store/r94kayv6y93mj1hgc13k11klha366dsw-eigen-3.4.0/include/eigen3 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtWidgets -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtGui -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtCore -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/lib/qt5/mkspecs/linux-g++ -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -fdiagnostics-color=always -fPIC -MD -MT himbaechel/uarch/gatemate/CMakeFiles/nextpnr-himbaechel-gatemate.dir/__/__/__/common/kernel/sdf.cc.o -MF CMakeFiles/nextpnr-himbaechel-gatemate.dir/__/__/__/common/kernel/sdf.cc.o.d -o CMakeFiles/nextpnr-himbaechel-gatemate.dir/__/__/__/common/kernel/sdf.cc.o -c /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/sdf.cc cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/himbaechel/uarch/gatemate && /gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/bin/c++ -DARCHNAME=himbaechel -DARCH_HIMBAECHEL -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DNEXTPNR_NAMESPACE=nextpnr_himbaechel -DNO_RUST -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -Dnextpnr_himbaechel_gatemate_EXPORTS -I/gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/include/python3.11 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/himbaechel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/common -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/frontend/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/json/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/rust/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/json11/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/place/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/route/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/oourafft/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/himbaechel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui -isystem /gnu/store/r94kayv6y93mj1hgc13k11klha366dsw-eigen-3.4.0/include/eigen3 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtWidgets -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtGui -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtCore -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/lib/qt5/mkspecs/linux-g++ -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -fdiagnostics-color=always -fPIC -MD -MT himbaechel/uarch/gatemate/CMakeFiles/nextpnr-himbaechel-gatemate.dir/__/__/__/common/kernel/sdc.cc.o -MF CMakeFiles/nextpnr-himbaechel-gatemate.dir/__/__/__/common/kernel/sdc.cc.o.d -o CMakeFiles/nextpnr-himbaechel-gatemate.dir/__/__/__/common/kernel/sdc.cc.o -c /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/sdc.cc cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/himbaechel/uarch/gatemate && /gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/bin/c++ -DARCHNAME=himbaechel -DARCH_HIMBAECHEL -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DNEXTPNR_NAMESPACE=nextpnr_himbaechel -DNO_RUST -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -Dnextpnr_himbaechel_gatemate_EXPORTS -I/gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/include/python3.11 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/himbaechel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/common -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/frontend/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/json/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/rust/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/json11/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/place/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/route/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/oourafft/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/himbaechel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui -isystem /gnu/store/r94kayv6y93mj1hgc13k11klha366dsw-eigen-3.4.0/include/eigen3 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtWidgets -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtGui -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtCore -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/lib/qt5/mkspecs/linux-g++ -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -fdiagnostics-color=always -fPIC -MD -MT himbaechel/uarch/gatemate/CMakeFiles/nextpnr-himbaechel-gatemate.dir/__/__/__/common/kernel/report.cc.o -MF CMakeFiles/nextpnr-himbaechel-gatemate.dir/__/__/__/common/kernel/report.cc.o.d -o CMakeFiles/nextpnr-himbaechel-gatemate.dir/__/__/__/common/kernel/report.cc.o -c /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/report.cc [ 91%] Building CXX object himbaechel/uarch/gatemate/CMakeFiles/nextpnr-himbaechel-gatemate.dir/__/__/__/common/kernel/pybindings.cc.o cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/himbaechel/uarch/gatemate && /gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/bin/c++ -DARCHNAME=himbaechel -DARCH_HIMBAECHEL -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DNEXTPNR_NAMESPACE=nextpnr_himbaechel -DNO_RUST -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -Dnextpnr_himbaechel_gatemate_EXPORTS -I/gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/include/python3.11 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/himbaechel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/common -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/frontend/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/json/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/rust/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/json11/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/place/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/route/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/oourafft/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/himbaechel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui -isystem /gnu/store/r94kayv6y93mj1hgc13k11klha366dsw-eigen-3.4.0/include/eigen3 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtWidgets -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtGui -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtCore -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/lib/qt5/mkspecs/linux-g++ -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -fdiagnostics-color=always -fPIC -MD -MT himbaechel/uarch/gatemate/CMakeFiles/nextpnr-himbaechel-gatemate.dir/__/__/__/common/kernel/pybindings.cc.o -MF CMakeFiles/nextpnr-himbaechel-gatemate.dir/__/__/__/common/kernel/pybindings.cc.o.d -o CMakeFiles/nextpnr-himbaechel-gatemate.dir/__/__/__/common/kernel/pybindings.cc.o -c /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/pybindings.cc [ 91%] Building CXX object himbaechel/uarch/gatemate/CMakeFiles/nextpnr-himbaechel-gatemate-test.dir/__/__/__/common/kernel/property.cc.o cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/himbaechel/uarch/gatemate && /gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/bin/c++ -DARCHNAME=himbaechel -DARCH_HIMBAECHEL -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DGTEST_LINKED_AS_SHARED_LIBRARY=1 -DNEXTPNR_NAMESPACE=nextpnr_himbaechel -DNO_RUST -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -Dnextpnr_himbaechel_gatemate_test_EXPORTS -I/gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/include/python3.11 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/himbaechel/uarch/gatemate/gtest_include_dir -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/himbaechel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/common -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/frontend/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/json/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/rust/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/json11/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/place/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/route/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/oourafft/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/himbaechel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui -isystem /gnu/store/r94kayv6y93mj1hgc13k11klha366dsw-eigen-3.4.0/include/eigen3 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtWidgets -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtGui -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtCore -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/lib/qt5/mkspecs/linux-g++ -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -fdiagnostics-color=always -fPIC -MD -MT himbaechel/uarch/gatemate/CMakeFiles/nextpnr-himbaechel-gatemate-test.dir/__/__/__/common/kernel/property.cc.o -MF CMakeFiles/nextpnr-himbaechel-gatemate-test.dir/__/__/__/common/kernel/property.cc.o.d -o CMakeFiles/nextpnr-himbaechel-gatemate-test.dir/__/__/__/common/kernel/property.cc.o -c /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/property.cc [ 91%] Building CXX object himbaechel/uarch/gatemate/CMakeFiles/nextpnr-himbaechel-gatemate-test.dir/__/__/__/common/kernel/nextpnr_types.cc.o cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/himbaechel/uarch/gatemate && /gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/bin/c++ -DARCHNAME=himbaechel -DARCH_HIMBAECHEL -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DGTEST_LINKED_AS_SHARED_LIBRARY=1 -DNEXTPNR_NAMESPACE=nextpnr_himbaechel -DNO_RUST -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -Dnextpnr_himbaechel_gatemate_test_EXPORTS -I/gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/include/python3.11 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/himbaechel/uarch/gatemate/gtest_include_dir -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/himbaechel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/common -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/frontend/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/json/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/rust/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/json11/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/place/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/route/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/oourafft/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/himbaechel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui -isystem /gnu/store/r94kayv6y93mj1hgc13k11klha366dsw-eigen-3.4.0/include/eigen3 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtWidgets -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtGui -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtCore -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/lib/qt5/mkspecs/linux-g++ -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -fdiagnostics-color=always -fPIC -MD -MT himbaechel/uarch/gatemate/CMakeFiles/nextpnr-himbaechel-gatemate-test.dir/__/__/__/common/kernel/nextpnr_types.cc.o -MF CMakeFiles/nextpnr-himbaechel-gatemate-test.dir/__/__/__/common/kernel/nextpnr_types.cc.o.d -o CMakeFiles/nextpnr-himbaechel-gatemate-test.dir/__/__/__/common/kernel/nextpnr_types.cc.o -c /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/nextpnr_types.cc [ 91%] Building CXX object himbaechel/uarch/gatemate/CMakeFiles/nextpnr-himbaechel-gatemate.dir/__/__/__/common/kernel/nextpnr_assertions.cc.o [ 91%] Building CXX object himbaechel/uarch/gatemate/CMakeFiles/nextpnr-himbaechel-gatemate.dir/__/__/__/common/kernel/nextpnr.cc.o [ 91%] Building CXX object himbaechel/uarch/gatemate/CMakeFiles/nextpnr-himbaechel-gatemate.dir/__/__/__/common/kernel/nextpnr_types.cc.o [ 91%] Building CXX object himbaechel/uarch/gatemate/CMakeFiles/nextpnr-himbaechel-gatemate.dir/__/__/__/common/kernel/property.cc.o [ 92%] Building CXX object himbaechel/uarch/gatemate/CMakeFiles/nextpnr-himbaechel-gatemate.dir/__/__/__/common/kernel/nextpnr_namespaces.cc.o [ 92%] Building CXX object himbaechel/uarch/gatemate/CMakeFiles/nextpnr-himbaechel-gatemate.dir/__/__/__/common/kernel/log.cc.o cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/himbaechel/uarch/gatemate && /gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/bin/c++ -DARCHNAME=himbaechel -DARCH_HIMBAECHEL -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DNEXTPNR_NAMESPACE=nextpnr_himbaechel -DNO_RUST -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -Dnextpnr_himbaechel_gatemate_EXPORTS -I/gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/include/python3.11 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/himbaechel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/common -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/frontend/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/json/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/rust/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/json11/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/place/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/route/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/oourafft/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/himbaechel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui -isystem /gnu/store/r94kayv6y93mj1hgc13k11klha366dsw-eigen-3.4.0/include/eigen3 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtWidgets -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtGui -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtCore -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/lib/qt5/mkspecs/linux-g++ -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -fdiagnostics-color=always -fPIC -MD -MT himbaechel/uarch/gatemate/CMakeFiles/nextpnr-himbaechel-gatemate.dir/__/__/__/common/kernel/nextpnr_types.cc.o -MF CMakeFiles/nextpnr-himbaechel-gatemate.dir/__/__/__/common/kernel/nextpnr_types.cc.o.d -o CMakeFiles/nextpnr-himbaechel-gatemate.dir/__/__/__/common/kernel/nextpnr_types.cc.o -c /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/nextpnr_types.cc cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/himbaechel/uarch/gatemate && /gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/bin/c++ -DARCHNAME=himbaechel -DARCH_HIMBAECHEL -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DNEXTPNR_NAMESPACE=nextpnr_himbaechel -DNO_RUST -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -Dnextpnr_himbaechel_gatemate_EXPORTS -I/gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/include/python3.11 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/himbaechel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/common -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/frontend/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/json/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/rust/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/json11/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/place/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/route/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/oourafft/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/himbaechel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui -isystem /gnu/store/r94kayv6y93mj1hgc13k11klha366dsw-eigen-3.4.0/include/eigen3 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtWidgets -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtGui -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtCore -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/lib/qt5/mkspecs/linux-g++ -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -fdiagnostics-color=always -fPIC -MD -MT himbaechel/uarch/gatemate/CMakeFiles/nextpnr-himbaechel-gatemate.dir/__/__/__/common/kernel/property.cc.o -MF CMakeFiles/nextpnr-himbaechel-gatemate.dir/__/__/__/common/kernel/property.cc.o.d -o CMakeFiles/nextpnr-himbaechel-gatemate.dir/__/__/__/common/kernel/property.cc.o -c /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/property.cc cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/himbaechel/uarch/gatemate && /gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/bin/c++ -DARCHNAME=himbaechel -DARCH_HIMBAECHEL -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DNEXTPNR_NAMESPACE=nextpnr_himbaechel -DNO_RUST -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -Dnextpnr_himbaechel_gatemate_EXPORTS -I/gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/include/python3.11 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/himbaechel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/common -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/frontend/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/json/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/rust/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/json11/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/place/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/route/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/oourafft/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/himbaechel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui -isystem /gnu/store/r94kayv6y93mj1hgc13k11klha366dsw-eigen-3.4.0/include/eigen3 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtWidgets -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtGui -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtCore -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/lib/qt5/mkspecs/linux-g++ -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -fdiagnostics-color=always -fPIC -MD -MT himbaechel/uarch/gatemate/CMakeFiles/nextpnr-himbaechel-gatemate.dir/__/__/__/common/kernel/nextpnr.cc.o -MF CMakeFiles/nextpnr-himbaechel-gatemate.dir/__/__/__/common/kernel/nextpnr.cc.o.d -o CMakeFiles/nextpnr-himbaechel-gatemate.dir/__/__/__/common/kernel/nextpnr.cc.o -c /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/nextpnr.cc cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/himbaechel/uarch/gatemate && /gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/bin/c++ -DARCHNAME=himbaechel -DARCH_HIMBAECHEL -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DNEXTPNR_NAMESPACE=nextpnr_himbaechel -DNO_RUST -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -Dnextpnr_himbaechel_gatemate_EXPORTS -I/gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/include/python3.11 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/himbaechel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/common -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/frontend/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/json/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/rust/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/json11/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/place/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/route/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/oourafft/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/himbaechel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui -isystem /gnu/store/r94kayv6y93mj1hgc13k11klha366dsw-eigen-3.4.0/include/eigen3 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtWidgets -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtGui -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtCore -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/lib/qt5/mkspecs/linux-g++ -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -fdiagnostics-color=always -fPIC -MD -MT himbaechel/uarch/gatemate/CMakeFiles/nextpnr-himbaechel-gatemate.dir/__/__/__/common/kernel/nextpnr_assertions.cc.o -MF CMakeFiles/nextpnr-himbaechel-gatemate.dir/__/__/__/common/kernel/nextpnr_assertions.cc.o.d -o CMakeFiles/nextpnr-himbaechel-gatemate.dir/__/__/__/common/kernel/nextpnr_assertions.cc.o -c /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/nextpnr_assertions.cc cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/himbaechel/uarch/gatemate && /gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/bin/c++ -DARCHNAME=himbaechel -DARCH_HIMBAECHEL -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DNEXTPNR_NAMESPACE=nextpnr_himbaechel -DNO_RUST -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -Dnextpnr_himbaechel_gatemate_EXPORTS -I/gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/include/python3.11 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/himbaechel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/common -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/frontend/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/json/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/rust/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/json11/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/place/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/route/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/oourafft/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/himbaechel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui -isystem /gnu/store/r94kayv6y93mj1hgc13k11klha366dsw-eigen-3.4.0/include/eigen3 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtWidgets -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtGui -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtCore -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/lib/qt5/mkspecs/linux-g++ -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -fdiagnostics-color=always -fPIC -MD -MT himbaechel/uarch/gatemate/CMakeFiles/nextpnr-himbaechel-gatemate.dir/__/__/__/common/kernel/log.cc.o -MF CMakeFiles/nextpnr-himbaechel-gatemate.dir/__/__/__/common/kernel/log.cc.o.d -o CMakeFiles/nextpnr-himbaechel-gatemate.dir/__/__/__/common/kernel/log.cc.o -c /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/log.cc cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/himbaechel/uarch/gatemate && /gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/bin/c++ -DARCHNAME=himbaechel -DARCH_HIMBAECHEL -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DNEXTPNR_NAMESPACE=nextpnr_himbaechel -DNO_RUST -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -Dnextpnr_himbaechel_gatemate_EXPORTS -I/gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/include/python3.11 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/himbaechel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/common -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/frontend/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/json/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/rust/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/json11/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/place/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/route/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/oourafft/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/himbaechel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui -isystem /gnu/store/r94kayv6y93mj1hgc13k11klha366dsw-eigen-3.4.0/include/eigen3 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtWidgets -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtGui -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtCore -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/lib/qt5/mkspecs/linux-g++ -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -fdiagnostics-color=always -fPIC -MD -MT himbaechel/uarch/gatemate/CMakeFiles/nextpnr-himbaechel-gatemate.dir/__/__/__/common/kernel/nextpnr_namespaces.cc.o -MF CMakeFiles/nextpnr-himbaechel-gatemate.dir/__/__/__/common/kernel/nextpnr_namespaces.cc.o.d -o CMakeFiles/nextpnr-himbaechel-gatemate.dir/__/__/__/common/kernel/nextpnr_namespaces.cc.o -c /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/nextpnr_namespaces.cc [ 93%] Building CXX object himbaechel/uarch/gatemate/CMakeFiles/nextpnr-himbaechel-gatemate.dir/__/__/__/common/kernel/design_utils.cc.o [ 93%] Building CXX object himbaechel/uarch/gatemate/CMakeFiles/nextpnr-himbaechel-gatemate.dir/__/__/__/common/kernel/command.cc.o [ 93%] Building CXX object himbaechel/uarch/gatemate/CMakeFiles/nextpnr-himbaechel-gatemate.dir/__/__/__/common/kernel/embed.cc.o [ 93%] Building CXX object himbaechel/uarch/gatemate/CMakeFiles/nextpnr-himbaechel-gatemate.dir/__/__/__/common/kernel/context.cc.o [ 93%] Building CXX object himbaechel/uarch/gatemate/CMakeFiles/nextpnr-himbaechel-gatemate.dir/__/__/__/common/kernel/idstringlist.cc.o [ 93%] Building CXX object himbaechel/uarch/gatemate/CMakeFiles/nextpnr-himbaechel-gatemate.dir/__/__/__/common/kernel/idstring.cc.o [ 93%] Building CXX object himbaechel/uarch/gatemate/CMakeFiles/nextpnr-himbaechel-gatemate.dir/__/__/__/common/kernel/handle_error.cc.o cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/himbaechel/uarch/gatemate && /gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/bin/c++ -DARCHNAME=himbaechel -DARCH_HIMBAECHEL -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DNEXTPNR_NAMESPACE=nextpnr_himbaechel -DNO_RUST -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -Dnextpnr_himbaechel_gatemate_EXPORTS -I/gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/include/python3.11 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/himbaechel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/common -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/frontend/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/json/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/rust/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/json11/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/place/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/route/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/oourafft/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/himbaechel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui -isystem /gnu/store/r94kayv6y93mj1hgc13k11klha366dsw-eigen-3.4.0/include/eigen3 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtWidgets -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtGui -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtCore -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/lib/qt5/mkspecs/linux-g++ -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -fdiagnostics-color=always -fPIC -MD -MT himbaechel/uarch/gatemate/CMakeFiles/nextpnr-himbaechel-gatemate.dir/__/__/__/common/kernel/idstring.cc.o -MF CMakeFiles/nextpnr-himbaechel-gatemate.dir/__/__/__/common/kernel/idstring.cc.o.d -o CMakeFiles/nextpnr-himbaechel-gatemate.dir/__/__/__/common/kernel/idstring.cc.o -c /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/idstring.cc cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/himbaechel/uarch/gatemate && /gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/bin/c++ -DARCHNAME=himbaechel -DARCH_HIMBAECHEL -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DNEXTPNR_NAMESPACE=nextpnr_himbaechel -DNO_RUST -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -Dnextpnr_himbaechel_gatemate_EXPORTS -I/gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/include/python3.11 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/himbaechel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/common -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/frontend/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/json/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/rust/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/json11/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/place/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/route/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/oourafft/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/himbaechel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui -isystem /gnu/store/r94kayv6y93mj1hgc13k11klha366dsw-eigen-3.4.0/include/eigen3 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtWidgets -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtGui -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtCore -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/lib/qt5/mkspecs/linux-g++ -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -fdiagnostics-color=always -fPIC -MD -MT himbaechel/uarch/gatemate/CMakeFiles/nextpnr-himbaechel-gatemate.dir/__/__/__/common/kernel/idstringlist.cc.o -MF CMakeFiles/nextpnr-himbaechel-gatemate.dir/__/__/__/common/kernel/idstringlist.cc.o.d -o CMakeFiles/nextpnr-himbaechel-gatemate.dir/__/__/__/common/kernel/idstringlist.cc.o -c /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/idstringlist.cc cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/himbaechel/uarch/gatemate && /gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/bin/c++ -DARCHNAME=himbaechel -DARCH_HIMBAECHEL -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DNEXTPNR_NAMESPACE=nextpnr_himbaechel -DNO_RUST -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -Dnextpnr_himbaechel_gatemate_EXPORTS -I/gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/include/python3.11 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/himbaechel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/common -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/frontend/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/json/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/rust/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/json11/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/place/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/route/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/oourafft/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/himbaechel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui -isystem /gnu/store/r94kayv6y93mj1hgc13k11klha366dsw-eigen-3.4.0/include/eigen3 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtWidgets -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtGui -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtCore -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/lib/qt5/mkspecs/linux-g++ -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -fdiagnostics-color=always -fPIC -MD -MT himbaechel/uarch/gatemate/CMakeFiles/nextpnr-himbaechel-gatemate.dir/__/__/__/common/kernel/handle_error.cc.o -MF CMakeFiles/nextpnr-himbaechel-gatemate.dir/__/__/__/common/kernel/handle_error.cc.o.d -o CMakeFiles/nextpnr-himbaechel-gatemate.dir/__/__/__/common/kernel/handle_error.cc.o -c /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/handle_error.cc cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/himbaechel/uarch/gatemate && /gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/bin/c++ -DARCHNAME=himbaechel -DARCH_HIMBAECHEL -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DNEXTPNR_NAMESPACE=nextpnr_himbaechel -DNO_RUST -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -Dnextpnr_himbaechel_gatemate_EXPORTS -I/gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/include/python3.11 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/himbaechel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/common -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/frontend/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/json/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/rust/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/json11/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/place/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/route/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/oourafft/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/himbaechel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui -isystem /gnu/store/r94kayv6y93mj1hgc13k11klha366dsw-eigen-3.4.0/include/eigen3 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtWidgets -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtGui -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtCore -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/lib/qt5/mkspecs/linux-g++ -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -fdiagnostics-color=always -fPIC -MD -MT himbaechel/uarch/gatemate/CMakeFiles/nextpnr-himbaechel-gatemate.dir/__/__/__/common/kernel/embed.cc.o -MF CMakeFiles/nextpnr-himbaechel-gatemate.dir/__/__/__/common/kernel/embed.cc.o.d -o CMakeFiles/nextpnr-himbaechel-gatemate.dir/__/__/__/common/kernel/embed.cc.o -c /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/embed.cc cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/himbaechel/uarch/gatemate && /gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/bin/c++ -DARCHNAME=himbaechel -DARCH_HIMBAECHEL -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DNEXTPNR_NAMESPACE=nextpnr_himbaechel -DNO_RUST -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -Dnextpnr_himbaechel_gatemate_EXPORTS -I/gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/include/python3.11 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/himbaechel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/common -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/frontend/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/json/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/rust/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/json11/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/place/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/route/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/oourafft/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/himbaechel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui -isystem /gnu/store/r94kayv6y93mj1hgc13k11klha366dsw-eigen-3.4.0/include/eigen3 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtWidgets -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtGui -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtCore -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/lib/qt5/mkspecs/linux-g++ -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -fdiagnostics-color=always -fPIC -MD -MT himbaechel/uarch/gatemate/CMakeFiles/nextpnr-himbaechel-gatemate.dir/__/__/__/common/kernel/design_utils.cc.o -MF CMakeFiles/nextpnr-himbaechel-gatemate.dir/__/__/__/common/kernel/design_utils.cc.o.d -o CMakeFiles/nextpnr-himbaechel-gatemate.dir/__/__/__/common/kernel/design_utils.cc.o -c /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/design_utils.cc [ 93%] Building CXX object himbaechel/uarch/gatemate/CMakeFiles/nextpnr-himbaechel-gatemate.dir/__/__/__/common/kernel/bits.cc.o cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/himbaechel/uarch/gatemate && /gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/bin/c++ -DARCHNAME=himbaechel -DARCH_HIMBAECHEL -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DNEXTPNR_NAMESPACE=nextpnr_himbaechel -DNO_RUST -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -Dnextpnr_himbaechel_gatemate_EXPORTS -I/gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/include/python3.11 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/himbaechel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/common -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/frontend/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/json/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/rust/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/json11/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/place/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/route/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/oourafft/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/himbaechel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui -isystem /gnu/store/r94kayv6y93mj1hgc13k11klha366dsw-eigen-3.4.0/include/eigen3 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtWidgets -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtGui -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtCore -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/lib/qt5/mkspecs/linux-g++ -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -fdiagnostics-color=always -fPIC -MD -MT himbaechel/uarch/gatemate/CMakeFiles/nextpnr-himbaechel-gatemate.dir/__/__/__/common/kernel/context.cc.o -MF CMakeFiles/nextpnr-himbaechel-gatemate.dir/__/__/__/common/kernel/context.cc.o.d -o CMakeFiles/nextpnr-himbaechel-gatemate.dir/__/__/__/common/kernel/context.cc.o -c /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/context.cc cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/himbaechel/uarch/gatemate && /gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/bin/c++ -DARCHNAME=himbaechel -DARCH_HIMBAECHEL -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DNEXTPNR_NAMESPACE=nextpnr_himbaechel -DNO_RUST -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -Dnextpnr_himbaechel_gatemate_EXPORTS -I/gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/include/python3.11 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/himbaechel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/common -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/frontend/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/json/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/rust/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/json11/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/place/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/route/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/oourafft/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/himbaechel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui -isystem /gnu/store/r94kayv6y93mj1hgc13k11klha366dsw-eigen-3.4.0/include/eigen3 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtWidgets -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtGui -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtCore -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/lib/qt5/mkspecs/linux-g++ -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -fdiagnostics-color=always -fPIC -MD -MT himbaechel/uarch/gatemate/CMakeFiles/nextpnr-himbaechel-gatemate.dir/__/__/__/common/kernel/command.cc.o -MF CMakeFiles/nextpnr-himbaechel-gatemate.dir/__/__/__/common/kernel/command.cc.o.d -o CMakeFiles/nextpnr-himbaechel-gatemate.dir/__/__/__/common/kernel/command.cc.o -c /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/command.cc cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/himbaechel/uarch/gatemate && /gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/bin/c++ -DARCHNAME=himbaechel -DARCH_HIMBAECHEL -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DNEXTPNR_NAMESPACE=nextpnr_himbaechel -DNO_RUST -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -Dnextpnr_himbaechel_gatemate_EXPORTS -I/gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/include/python3.11 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/himbaechel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/common -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/frontend/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/json/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/rust/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/json11/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/place/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/route/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/oourafft/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/himbaechel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui -isystem /gnu/store/r94kayv6y93mj1hgc13k11klha366dsw-eigen-3.4.0/include/eigen3 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtWidgets -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtGui -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtCore -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/lib/qt5/mkspecs/linux-g++ -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -fdiagnostics-color=always -fPIC -MD -MT himbaechel/uarch/gatemate/CMakeFiles/nextpnr-himbaechel-gatemate.dir/__/__/__/common/kernel/bits.cc.o -MF CMakeFiles/nextpnr-himbaechel-gatemate.dir/__/__/__/common/kernel/bits.cc.o.d -o CMakeFiles/nextpnr-himbaechel-gatemate.dir/__/__/__/common/kernel/bits.cc.o -c /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/bits.cc [ 93%] Building CXX object himbaechel/uarch/gatemate/CMakeFiles/nextpnr-himbaechel-gatemate.dir/__/__/__/common/kernel/basectx.cc.o [ 93%] Building CXX object himbaechel/uarch/gatemate/CMakeFiles/nextpnr-himbaechel-gatemate.dir/__/__/__/common/kernel/archcheck.cc.o [ 93%] Building CXX object himbaechel/uarch/gatemate/CMakeFiles/nextpnr-himbaechel-gatemate.dir/route_clock.cc.o cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/himbaechel/uarch/gatemate && /gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/bin/c++ -DARCHNAME=himbaechel -DARCH_HIMBAECHEL -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DNEXTPNR_NAMESPACE=nextpnr_himbaechel -DNO_RUST -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -Dnextpnr_himbaechel_gatemate_EXPORTS -I/gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/include/python3.11 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/himbaechel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/common -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/frontend/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/json/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/rust/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/json11/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/place/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/route/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/oourafft/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/himbaechel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui -isystem /gnu/store/r94kayv6y93mj1hgc13k11klha366dsw-eigen-3.4.0/include/eigen3 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtWidgets -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtGui -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtCore -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/lib/qt5/mkspecs/linux-g++ -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -fdiagnostics-color=always -fPIC -MD -MT himbaechel/uarch/gatemate/CMakeFiles/nextpnr-himbaechel-gatemate.dir/__/__/__/common/kernel/basectx.cc.o -MF CMakeFiles/nextpnr-himbaechel-gatemate.dir/__/__/__/common/kernel/basectx.cc.o.d -o CMakeFiles/nextpnr-himbaechel-gatemate.dir/__/__/__/common/kernel/basectx.cc.o -c /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/basectx.cc cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/himbaechel/uarch/gatemate && /gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/bin/c++ -DARCHNAME=himbaechel -DARCH_HIMBAECHEL -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DNEXTPNR_NAMESPACE=nextpnr_himbaechel -DNO_RUST -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -Dnextpnr_himbaechel_gatemate_EXPORTS -I/gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/include/python3.11 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/himbaechel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/common -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/frontend/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/json/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/rust/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/json11/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/place/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/route/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/oourafft/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/himbaechel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui -isystem /gnu/store/r94kayv6y93mj1hgc13k11klha366dsw-eigen-3.4.0/include/eigen3 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtWidgets -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtGui -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtCore -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/lib/qt5/mkspecs/linux-g++ -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -fdiagnostics-color=always -fPIC -MD -MT himbaechel/uarch/gatemate/CMakeFiles/nextpnr-himbaechel-gatemate.dir/__/__/__/common/kernel/archcheck.cc.o -MF CMakeFiles/nextpnr-himbaechel-gatemate.dir/__/__/__/common/kernel/archcheck.cc.o.d -o CMakeFiles/nextpnr-himbaechel-gatemate.dir/__/__/__/common/kernel/archcheck.cc.o -c /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/archcheck.cc [ 93%] Building CXX object himbaechel/uarch/gatemate/CMakeFiles/nextpnr-himbaechel-gatemate.dir/route_mult.cc.o cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/himbaechel/uarch/gatemate && /gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/bin/c++ -DARCHNAME=himbaechel -DARCH_HIMBAECHEL -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DNEXTPNR_NAMESPACE=nextpnr_himbaechel -DNO_RUST -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -Dnextpnr_himbaechel_gatemate_EXPORTS -I/gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/include/python3.11 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/himbaechel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/common -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/frontend/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/json/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/rust/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/json11/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/place/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/route/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/oourafft/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/himbaechel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui -isystem /gnu/store/r94kayv6y93mj1hgc13k11klha366dsw-eigen-3.4.0/include/eigen3 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtWidgets -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtGui -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtCore -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/lib/qt5/mkspecs/linux-g++ -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -fdiagnostics-color=always -fPIC -MD -MT himbaechel/uarch/gatemate/CMakeFiles/nextpnr-himbaechel-gatemate.dir/route_clock.cc.o -MF CMakeFiles/nextpnr-himbaechel-gatemate.dir/route_clock.cc.o.d -o CMakeFiles/nextpnr-himbaechel-gatemate.dir/route_clock.cc.o -c /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/himbaechel/uarch/gatemate/route_clock.cc cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/himbaechel/uarch/gatemate && /gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/bin/c++ -DARCHNAME=himbaechel -DARCH_HIMBAECHEL -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DNEXTPNR_NAMESPACE=nextpnr_himbaechel -DNO_RUST -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -Dnextpnr_himbaechel_gatemate_EXPORTS -I/gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/include/python3.11 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/himbaechel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/common -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/frontend/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/json/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/rust/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/json11/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/place/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/route/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/oourafft/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/himbaechel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui -isystem /gnu/store/r94kayv6y93mj1hgc13k11klha366dsw-eigen-3.4.0/include/eigen3 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtWidgets -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtGui -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtCore -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/lib/qt5/mkspecs/linux-g++ -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -fdiagnostics-color=always -fPIC -MD -MT himbaechel/uarch/gatemate/CMakeFiles/nextpnr-himbaechel-gatemate.dir/route_mult.cc.o -MF CMakeFiles/nextpnr-himbaechel-gatemate.dir/route_mult.cc.o.d -o CMakeFiles/nextpnr-himbaechel-gatemate.dir/route_mult.cc.o -c /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/himbaechel/uarch/gatemate/route_mult.cc [ 93%] Building CXX object himbaechel/uarch/gatemate/CMakeFiles/nextpnr-himbaechel-gatemate.dir/pll.cc.o [ 94%] Building CXX object himbaechel/uarch/gatemate/CMakeFiles/nextpnr-himbaechel-gatemate.dir/pack_serdes.cc.o cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/himbaechel/uarch/gatemate && /gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/bin/c++ -DARCHNAME=himbaechel -DARCH_HIMBAECHEL -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DNEXTPNR_NAMESPACE=nextpnr_himbaechel -DNO_RUST -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -Dnextpnr_himbaechel_gatemate_EXPORTS -I/gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/include/python3.11 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/himbaechel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/common -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/frontend/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/json/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/rust/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/json11/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/place/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/route/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/oourafft/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/himbaechel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui -isystem /gnu/store/r94kayv6y93mj1hgc13k11klha366dsw-eigen-3.4.0/include/eigen3 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtWidgets -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtGui -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtCore -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/lib/qt5/mkspecs/linux-g++ -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -fdiagnostics-color=always -fPIC -MD -MT himbaechel/uarch/gatemate/CMakeFiles/nextpnr-himbaechel-gatemate.dir/pll.cc.o -MF CMakeFiles/nextpnr-himbaechel-gatemate.dir/pll.cc.o.d -o CMakeFiles/nextpnr-himbaechel-gatemate.dir/pll.cc.o -c /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/himbaechel/uarch/gatemate/pll.cc cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/himbaechel/uarch/gatemate && /gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/bin/c++ -DARCHNAME=himbaechel -DARCH_HIMBAECHEL -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DNEXTPNR_NAMESPACE=nextpnr_himbaechel -DNO_RUST -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -Dnextpnr_himbaechel_gatemate_EXPORTS -I/gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/include/python3.11 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/himbaechel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/common -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/frontend/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/json/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/rust/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/json11/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/place/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/route/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/oourafft/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/himbaechel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui -isystem /gnu/store/r94kayv6y93mj1hgc13k11klha366dsw-eigen-3.4.0/include/eigen3 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtWidgets -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtGui -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtCore -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/lib/qt5/mkspecs/linux-g++ -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -fdiagnostics-color=always -fPIC -MD -MT himbaechel/uarch/gatemate/CMakeFiles/nextpnr-himbaechel-gatemate.dir/pack_serdes.cc.o -MF CMakeFiles/nextpnr-himbaechel-gatemate.dir/pack_serdes.cc.o.d -o CMakeFiles/nextpnr-himbaechel-gatemate.dir/pack_serdes.cc.o -c /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/himbaechel/uarch/gatemate/pack_serdes.cc [ 94%] Building CXX object himbaechel/uarch/gatemate/CMakeFiles/nextpnr-himbaechel-gatemate.dir/pack_io.cc.o [ 94%] Building CXX object himbaechel/uarch/gatemate/CMakeFiles/nextpnr-himbaechel-gatemate.dir/pack_cpe.cc.o [ 94%] Building CXX object himbaechel/uarch/gatemate/CMakeFiles/nextpnr-himbaechel-gatemate.dir/pack_mult.cc.o cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/himbaechel/uarch/gatemate && /gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/bin/c++ -DARCHNAME=himbaechel -DARCH_HIMBAECHEL -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DNEXTPNR_NAMESPACE=nextpnr_himbaechel -DNO_RUST -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -Dnextpnr_himbaechel_gatemate_EXPORTS -I/gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/include/python3.11 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/himbaechel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/common -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/frontend/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/json/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/rust/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/json11/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/place/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/route/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/oourafft/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/himbaechel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui -isystem /gnu/store/r94kayv6y93mj1hgc13k11klha366dsw-eigen-3.4.0/include/eigen3 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtWidgets -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtGui -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtCore -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/lib/qt5/mkspecs/linux-g++ -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -fdiagnostics-color=always -fPIC -MD -MT himbaechel/uarch/gatemate/CMakeFiles/nextpnr-himbaechel-gatemate.dir/pack_mult.cc.o -MF CMakeFiles/nextpnr-himbaechel-gatemate.dir/pack_mult.cc.o.d -o CMakeFiles/nextpnr-himbaechel-gatemate.dir/pack_mult.cc.o -c /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/himbaechel/uarch/gatemate/pack_mult.cc cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/himbaechel/uarch/gatemate && /gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/bin/c++ -DARCHNAME=himbaechel -DARCH_HIMBAECHEL -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DNEXTPNR_NAMESPACE=nextpnr_himbaechel -DNO_RUST -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -Dnextpnr_himbaechel_gatemate_EXPORTS -I/gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/include/python3.11 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/himbaechel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/common -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/frontend/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/json/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/rust/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/json11/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/place/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/route/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/oourafft/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/himbaechel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui -isystem /gnu/store/r94kayv6y93mj1hgc13k11klha366dsw-eigen-3.4.0/include/eigen3 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtWidgets -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtGui -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtCore -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/lib/qt5/mkspecs/linux-g++ -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -fdiagnostics-color=always -fPIC -MD -MT himbaechel/uarch/gatemate/CMakeFiles/nextpnr-himbaechel-gatemate.dir/pack_io.cc.o -MF CMakeFiles/nextpnr-himbaechel-gatemate.dir/pack_io.cc.o.d -o CMakeFiles/nextpnr-himbaechel-gatemate.dir/pack_io.cc.o -c /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/himbaechel/uarch/gatemate/pack_io.cc cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/himbaechel/uarch/gatemate && /gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/bin/c++ -DARCHNAME=himbaechel -DARCH_HIMBAECHEL -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DNEXTPNR_NAMESPACE=nextpnr_himbaechel -DNO_RUST -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -Dnextpnr_himbaechel_gatemate_EXPORTS -I/gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/include/python3.11 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/himbaechel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/common -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/frontend/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/json/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/rust/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/json11/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/place/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/route/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/oourafft/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/himbaechel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui -isystem /gnu/store/r94kayv6y93mj1hgc13k11klha366dsw-eigen-3.4.0/include/eigen3 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtWidgets -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtGui -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtCore -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/lib/qt5/mkspecs/linux-g++ -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -fdiagnostics-color=always -fPIC -MD -MT himbaechel/uarch/gatemate/CMakeFiles/nextpnr-himbaechel-gatemate.dir/pack_cpe.cc.o -MF CMakeFiles/nextpnr-himbaechel-gatemate.dir/pack_cpe.cc.o.d -o CMakeFiles/nextpnr-himbaechel-gatemate.dir/pack_cpe.cc.o -c /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/himbaechel/uarch/gatemate/pack_cpe.cc [ 94%] Building CXX object himbaechel/uarch/gatemate/CMakeFiles/nextpnr-himbaechel-gatemate.dir/pack_clocking.cc.o [ 94%] Building CXX object himbaechel/uarch/gatemate/CMakeFiles/nextpnr-himbaechel-gatemate.dir/pack_bram.cc.o cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/himbaechel/uarch/gatemate && /gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/bin/c++ -DARCHNAME=himbaechel -DARCH_HIMBAECHEL -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DNEXTPNR_NAMESPACE=nextpnr_himbaechel -DNO_RUST -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -Dnextpnr_himbaechel_gatemate_EXPORTS -I/gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/include/python3.11 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/himbaechel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/common -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/frontend/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/json/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/rust/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/json11/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/place/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/route/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/oourafft/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/himbaechel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui -isystem /gnu/store/r94kayv6y93mj1hgc13k11klha366dsw-eigen-3.4.0/include/eigen3 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtWidgets -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtGui -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtCore -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/lib/qt5/mkspecs/linux-g++ -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -fdiagnostics-color=always -fPIC -MD -MT himbaechel/uarch/gatemate/CMakeFiles/nextpnr-himbaechel-gatemate.dir/pack_clocking.cc.o -MF CMakeFiles/nextpnr-himbaechel-gatemate.dir/pack_clocking.cc.o.d -o CMakeFiles/nextpnr-himbaechel-gatemate.dir/pack_clocking.cc.o -c /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/himbaechel/uarch/gatemate/pack_clocking.cc cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/himbaechel/uarch/gatemate && /gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/bin/c++ -DARCHNAME=himbaechel -DARCH_HIMBAECHEL -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DNEXTPNR_NAMESPACE=nextpnr_himbaechel -DNO_RUST -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -Dnextpnr_himbaechel_gatemate_EXPORTS -I/gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/include/python3.11 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/himbaechel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/common -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/frontend/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/json/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/rust/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/json11/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/place/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/route/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/oourafft/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/himbaechel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui -isystem /gnu/store/r94kayv6y93mj1hgc13k11klha366dsw-eigen-3.4.0/include/eigen3 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtWidgets -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtGui -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtCore -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/lib/qt5/mkspecs/linux-g++ -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -fdiagnostics-color=always -fPIC -MD -MT himbaechel/uarch/gatemate/CMakeFiles/nextpnr-himbaechel-gatemate.dir/pack_bram.cc.o -MF CMakeFiles/nextpnr-himbaechel-gatemate.dir/pack_bram.cc.o.d -o CMakeFiles/nextpnr-himbaechel-gatemate.dir/pack_bram.cc.o -c /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/himbaechel/uarch/gatemate/pack_bram.cc [ 94%] Building CXX object himbaechel/uarch/gatemate/CMakeFiles/nextpnr-himbaechel-gatemate.dir/pack.cc.o cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/himbaechel/uarch/gatemate && /gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/bin/c++ -DARCHNAME=himbaechel -DARCH_HIMBAECHEL -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DNEXTPNR_NAMESPACE=nextpnr_himbaechel -DNO_RUST -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -Dnextpnr_himbaechel_gatemate_EXPORTS -I/gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/include/python3.11 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/himbaechel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/common -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/frontend/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/json/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/rust/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/json11/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/place/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/route/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/oourafft/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/himbaechel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui -isystem /gnu/store/r94kayv6y93mj1hgc13k11klha366dsw-eigen-3.4.0/include/eigen3 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtWidgets -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtGui -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtCore -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/lib/qt5/mkspecs/linux-g++ -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -fdiagnostics-color=always -fPIC -MD -MT himbaechel/uarch/gatemate/CMakeFiles/nextpnr-himbaechel-gatemate.dir/pack.cc.o -MF CMakeFiles/nextpnr-himbaechel-gatemate.dir/pack.cc.o.d -o CMakeFiles/nextpnr-himbaechel-gatemate.dir/pack.cc.o -c /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/himbaechel/uarch/gatemate/pack.cc [ 95%] Building CXX object himbaechel/uarch/gatemate/CMakeFiles/nextpnr-himbaechel-gatemate.dir/delay.cc.o [ 95%] Building CXX object himbaechel/uarch/gatemate/CMakeFiles/nextpnr-himbaechel-gatemate.dir/config.cc.o [ 95%] Building CXX object himbaechel/uarch/gatemate/CMakeFiles/nextpnr-himbaechel-gatemate.dir/ccf.cc.o [ 95%] Building CXX object himbaechel/uarch/gatemate/CMakeFiles/nextpnr-himbaechel-gatemate.dir/gatemate.cc.o [ 95%] Building CXX object himbaechel/uarch/gatemate/CMakeFiles/nextpnr-himbaechel-gatemate.dir/cells.cc.o [ 95%] Building CXX object himbaechel/uarch/gatemate/CMakeFiles/nextpnr-himbaechel-gatemate.dir/gfx.cc.o cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/himbaechel/uarch/gatemate && /gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/bin/c++ -DARCHNAME=himbaechel -DARCH_HIMBAECHEL -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DNEXTPNR_NAMESPACE=nextpnr_himbaechel -DNO_RUST -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -Dnextpnr_himbaechel_gatemate_EXPORTS -I/gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/include/python3.11 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/himbaechel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/common -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/frontend/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/json/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/rust/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/json11/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/place/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/route/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/oourafft/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/himbaechel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui -isystem /gnu/store/r94kayv6y93mj1hgc13k11klha366dsw-eigen-3.4.0/include/eigen3 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtWidgets -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtGui -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtCore -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/lib/qt5/mkspecs/linux-g++ -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -fdiagnostics-color=always -fPIC -MD -MT himbaechel/uarch/gatemate/CMakeFiles/nextpnr-himbaechel-gatemate.dir/gfx.cc.o -MF CMakeFiles/nextpnr-himbaechel-gatemate.dir/gfx.cc.o.d -o CMakeFiles/nextpnr-himbaechel-gatemate.dir/gfx.cc.o -c /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/himbaechel/uarch/gatemate/gfx.cc cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/himbaechel/uarch/gatemate && /gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/bin/c++ -DARCHNAME=himbaechel -DARCH_HIMBAECHEL -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DNEXTPNR_NAMESPACE=nextpnr_himbaechel -DNO_RUST -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -Dnextpnr_himbaechel_gatemate_EXPORTS -I/gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/include/python3.11 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/himbaechel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/common -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/frontend/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/json/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/rust/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/json11/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/place/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/route/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/oourafft/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/himbaechel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui -isystem /gnu/store/r94kayv6y93mj1hgc13k11klha366dsw-eigen-3.4.0/include/eigen3 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtWidgets -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtGui -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtCore -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/lib/qt5/mkspecs/linux-g++ -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -fdiagnostics-color=always -fPIC -MD -MT himbaechel/uarch/gatemate/CMakeFiles/nextpnr-himbaechel-gatemate.dir/gatemate.cc.o -MF CMakeFiles/nextpnr-himbaechel-gatemate.dir/gatemate.cc.o.d -o CMakeFiles/nextpnr-himbaechel-gatemate.dir/gatemate.cc.o -c /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/himbaechel/uarch/gatemate/gatemate.cc cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/himbaechel/uarch/gatemate && /gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/bin/c++ -DARCHNAME=himbaechel -DARCH_HIMBAECHEL -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DNEXTPNR_NAMESPACE=nextpnr_himbaechel -DNO_RUST -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -Dnextpnr_himbaechel_gatemate_EXPORTS -I/gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/include/python3.11 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/himbaechel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/common -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/frontend/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/json/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/rust/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/json11/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/place/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/route/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/oourafft/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/himbaechel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui -isystem /gnu/store/r94kayv6y93mj1hgc13k11klha366dsw-eigen-3.4.0/include/eigen3 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtWidgets -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtGui -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtCore -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/lib/qt5/mkspecs/linux-g++ -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -fdiagnostics-color=always -fPIC -MD -MT himbaechel/uarch/gatemate/CMakeFiles/nextpnr-himbaechel-gatemate.dir/delay.cc.o -MF CMakeFiles/nextpnr-himbaechel-gatemate.dir/delay.cc.o.d -o CMakeFiles/nextpnr-himbaechel-gatemate.dir/delay.cc.o -c /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/himbaechel/uarch/gatemate/delay.cc cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/himbaechel/uarch/gatemate && /gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/bin/c++ -DARCHNAME=himbaechel -DARCH_HIMBAECHEL -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DNEXTPNR_NAMESPACE=nextpnr_himbaechel -DNO_RUST -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -Dnextpnr_himbaechel_gatemate_EXPORTS -I/gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/include/python3.11 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/himbaechel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/common -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/frontend/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/json/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/rust/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/json11/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/place/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/route/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/oourafft/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/himbaechel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui -isystem /gnu/store/r94kayv6y93mj1hgc13k11klha366dsw-eigen-3.4.0/include/eigen3 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtWidgets -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtGui -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtCore -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/lib/qt5/mkspecs/linux-g++ -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -fdiagnostics-color=always -fPIC -MD -MT himbaechel/uarch/gatemate/CMakeFiles/nextpnr-himbaechel-gatemate.dir/config.cc.o -MF CMakeFiles/nextpnr-himbaechel-gatemate.dir/config.cc.o.d -o CMakeFiles/nextpnr-himbaechel-gatemate.dir/config.cc.o -c /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/himbaechel/uarch/gatemate/config.cc cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/himbaechel/uarch/gatemate && /gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/bin/c++ -DARCHNAME=himbaechel -DARCH_HIMBAECHEL -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DNEXTPNR_NAMESPACE=nextpnr_himbaechel -DNO_RUST -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -Dnextpnr_himbaechel_gatemate_EXPORTS -I/gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/include/python3.11 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/himbaechel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/common -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/frontend/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/json/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/rust/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/json11/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/place/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/route/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/oourafft/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/himbaechel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui -isystem /gnu/store/r94kayv6y93mj1hgc13k11klha366dsw-eigen-3.4.0/include/eigen3 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtWidgets -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtGui -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtCore -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/lib/qt5/mkspecs/linux-g++ -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -fdiagnostics-color=always -fPIC -MD -MT himbaechel/uarch/gatemate/CMakeFiles/nextpnr-himbaechel-gatemate.dir/cells.cc.o -MF CMakeFiles/nextpnr-himbaechel-gatemate.dir/cells.cc.o.d -o CMakeFiles/nextpnr-himbaechel-gatemate.dir/cells.cc.o -c /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/himbaechel/uarch/gatemate/cells.cc cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/himbaechel/uarch/gatemate && /gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/bin/c++ -DARCHNAME=himbaechel -DARCH_HIMBAECHEL -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DNEXTPNR_NAMESPACE=nextpnr_himbaechel -DNO_RUST -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -Dnextpnr_himbaechel_gatemate_EXPORTS -I/gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/include/python3.11 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/himbaechel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/common -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/frontend/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/json/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/rust/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/json11/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/place/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/route/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/oourafft/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/himbaechel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui -isystem /gnu/store/r94kayv6y93mj1hgc13k11klha366dsw-eigen-3.4.0/include/eigen3 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtWidgets -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtGui -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtCore -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/lib/qt5/mkspecs/linux-g++ -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -fdiagnostics-color=always -fPIC -MD -MT himbaechel/uarch/gatemate/CMakeFiles/nextpnr-himbaechel-gatemate.dir/ccf.cc.o -MF CMakeFiles/nextpnr-himbaechel-gatemate.dir/ccf.cc.o.d -o CMakeFiles/nextpnr-himbaechel-gatemate.dir/ccf.cc.o -c /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/himbaechel/uarch/gatemate/ccf.cc [ 95%] Building CXX object himbaechel/uarch/gatemate/CMakeFiles/nextpnr-himbaechel-gatemate.dir/__/__/himbaechel_helpers.cc.o [ 95%] Building CXX object himbaechel/uarch/gatemate/CMakeFiles/nextpnr-himbaechel-gatemate.dir/bitstream.cc.o cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/himbaechel/uarch/gatemate && /gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/bin/c++ -DARCHNAME=himbaechel -DARCH_HIMBAECHEL -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DNEXTPNR_NAMESPACE=nextpnr_himbaechel -DNO_RUST -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -Dnextpnr_himbaechel_gatemate_EXPORTS -I/gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/include/python3.11 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/himbaechel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/common -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/frontend/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/json/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/rust/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/json11/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/place/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/route/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/oourafft/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/himbaechel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui -isystem /gnu/store/r94kayv6y93mj1hgc13k11klha366dsw-eigen-3.4.0/include/eigen3 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtWidgets -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtGui -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtCore -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/lib/qt5/mkspecs/linux-g++ -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -fdiagnostics-color=always -fPIC -MD -MT himbaechel/uarch/gatemate/CMakeFiles/nextpnr-himbaechel-gatemate.dir/__/__/himbaechel_helpers.cc.o -MF CMakeFiles/nextpnr-himbaechel-gatemate.dir/__/__/himbaechel_helpers.cc.o.d -o CMakeFiles/nextpnr-himbaechel-gatemate.dir/__/__/himbaechel_helpers.cc.o -c /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/himbaechel/himbaechel_helpers.cc cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/himbaechel/uarch/gatemate && /gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/bin/c++ -DARCHNAME=himbaechel -DARCH_HIMBAECHEL -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DNEXTPNR_NAMESPACE=nextpnr_himbaechel -DNO_RUST -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -Dnextpnr_himbaechel_gatemate_EXPORTS -I/gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/include/python3.11 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/himbaechel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/common -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/frontend/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/json/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/rust/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/json11/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/place/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/route/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/oourafft/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/himbaechel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui -isystem /gnu/store/r94kayv6y93mj1hgc13k11klha366dsw-eigen-3.4.0/include/eigen3 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtWidgets -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtGui -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtCore -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/lib/qt5/mkspecs/linux-g++ -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -fdiagnostics-color=always -fPIC -MD -MT himbaechel/uarch/gatemate/CMakeFiles/nextpnr-himbaechel-gatemate.dir/bitstream.cc.o -MF CMakeFiles/nextpnr-himbaechel-gatemate.dir/bitstream.cc.o.d -o CMakeFiles/nextpnr-himbaechel-gatemate.dir/bitstream.cc.o -c /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/himbaechel/uarch/gatemate/bitstream.cc [ 95%] Building CXX object himbaechel/uarch/gatemate/CMakeFiles/nextpnr-himbaechel-gatemate-test.dir/__/__/__/common/kernel/nextpnr_namespaces.cc.o cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/himbaechel/uarch/gatemate && /gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/bin/c++ -DARCHNAME=himbaechel -DARCH_HIMBAECHEL -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DGTEST_LINKED_AS_SHARED_LIBRARY=1 -DNEXTPNR_NAMESPACE=nextpnr_himbaechel -DNO_RUST -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -Dnextpnr_himbaechel_gatemate_test_EXPORTS -I/gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/include/python3.11 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/himbaechel/uarch/gatemate/gtest_include_dir -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/himbaechel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/common -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/frontend/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/json/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/rust/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/json11/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/place/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/route/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/oourafft/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/himbaechel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui -isystem /gnu/store/r94kayv6y93mj1hgc13k11klha366dsw-eigen-3.4.0/include/eigen3 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtWidgets -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtGui -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtCore -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/lib/qt5/mkspecs/linux-g++ -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -fdiagnostics-color=always -fPIC -MD -MT himbaechel/uarch/gatemate/CMakeFiles/nextpnr-himbaechel-gatemate-test.dir/__/__/__/common/kernel/nextpnr_namespaces.cc.o -MF CMakeFiles/nextpnr-himbaechel-gatemate-test.dir/__/__/__/common/kernel/nextpnr_namespaces.cc.o.d -o CMakeFiles/nextpnr-himbaechel-gatemate-test.dir/__/__/__/common/kernel/nextpnr_namespaces.cc.o -c /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/nextpnr_namespaces.cc [ 95%] Building CXX object himbaechel/uarch/gatemate/CMakeFiles/nextpnr-himbaechel-gatemate.dir/__/__/himbaechel_api.cc.o cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/himbaechel/uarch/gatemate && /gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/bin/c++ -DARCHNAME=himbaechel -DARCH_HIMBAECHEL -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DNEXTPNR_NAMESPACE=nextpnr_himbaechel -DNO_RUST -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -Dnextpnr_himbaechel_gatemate_EXPORTS -I/gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/include/python3.11 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/himbaechel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/common -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/frontend/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/json/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/rust/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/json11/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/place/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/route/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/oourafft/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/himbaechel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui -isystem /gnu/store/r94kayv6y93mj1hgc13k11klha366dsw-eigen-3.4.0/include/eigen3 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtWidgets -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtGui -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtCore -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/lib/qt5/mkspecs/linux-g++ -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -fdiagnostics-color=always -fPIC -MD -MT himbaechel/uarch/gatemate/CMakeFiles/nextpnr-himbaechel-gatemate.dir/__/__/himbaechel_api.cc.o -MF CMakeFiles/nextpnr-himbaechel-gatemate.dir/__/__/himbaechel_api.cc.o.d -o CMakeFiles/nextpnr-himbaechel-gatemate.dir/__/__/himbaechel_api.cc.o -c /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/himbaechel/himbaechel_api.cc [ 95%] Building CXX object himbaechel/uarch/gatemate/CMakeFiles/nextpnr-himbaechel-gatemate-test.dir/__/__/__/common/kernel/nextpnr.cc.o cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/himbaechel/uarch/gatemate && /gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/bin/c++ -DARCHNAME=himbaechel -DARCH_HIMBAECHEL -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DGTEST_LINKED_AS_SHARED_LIBRARY=1 -DNEXTPNR_NAMESPACE=nextpnr_himbaechel -DNO_RUST -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -Dnextpnr_himbaechel_gatemate_test_EXPORTS -I/gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/include/python3.11 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/himbaechel/uarch/gatemate/gtest_include_dir -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/himbaechel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/common -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/frontend/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/json/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/rust/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/json11/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/place/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/route/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/oourafft/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/himbaechel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui -isystem /gnu/store/r94kayv6y93mj1hgc13k11klha366dsw-eigen-3.4.0/include/eigen3 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtWidgets -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtGui -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtCore -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/lib/qt5/mkspecs/linux-g++ -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -fdiagnostics-color=always -fPIC -MD -MT himbaechel/uarch/gatemate/CMakeFiles/nextpnr-himbaechel-gatemate-test.dir/__/__/__/common/kernel/nextpnr.cc.o -MF CMakeFiles/nextpnr-himbaechel-gatemate-test.dir/__/__/__/common/kernel/nextpnr.cc.o.d -o CMakeFiles/nextpnr-himbaechel-gatemate-test.dir/__/__/__/common/kernel/nextpnr.cc.o -c /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/nextpnr.cc [ 95%] Building CXX object himbaechel/uarch/gatemate/CMakeFiles/nextpnr-himbaechel-gatemate-test.dir/__/__/__/common/kernel/nextpnr_assertions.cc.o [ 95%] Building CXX object himbaechel/uarch/gatemate/CMakeFiles/nextpnr-himbaechel-gatemate-test.dir/__/__/__/common/kernel/log.cc.o cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/himbaechel/uarch/gatemate && /gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/bin/c++ -DARCHNAME=himbaechel -DARCH_HIMBAECHEL -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DGTEST_LINKED_AS_SHARED_LIBRARY=1 -DNEXTPNR_NAMESPACE=nextpnr_himbaechel -DNO_RUST -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -Dnextpnr_himbaechel_gatemate_test_EXPORTS -I/gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/include/python3.11 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/himbaechel/uarch/gatemate/gtest_include_dir -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/himbaechel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/common -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/frontend/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/json/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/rust/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/json11/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/place/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/route/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/oourafft/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/himbaechel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui -isystem /gnu/store/r94kayv6y93mj1hgc13k11klha366dsw-eigen-3.4.0/include/eigen3 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtWidgets -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtGui -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtCore -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/lib/qt5/mkspecs/linux-g++ -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -fdiagnostics-color=always -fPIC -MD -MT himbaechel/uarch/gatemate/CMakeFiles/nextpnr-himbaechel-gatemate-test.dir/__/__/__/common/kernel/log.cc.o -MF CMakeFiles/nextpnr-himbaechel-gatemate-test.dir/__/__/__/common/kernel/log.cc.o.d -o CMakeFiles/nextpnr-himbaechel-gatemate-test.dir/__/__/__/common/kernel/log.cc.o -c /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/log.cc cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/himbaechel/uarch/gatemate && /gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/bin/c++ -DARCHNAME=himbaechel -DARCH_HIMBAECHEL -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DGTEST_LINKED_AS_SHARED_LIBRARY=1 -DNEXTPNR_NAMESPACE=nextpnr_himbaechel -DNO_RUST -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -Dnextpnr_himbaechel_gatemate_test_EXPORTS -I/gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/include/python3.11 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/himbaechel/uarch/gatemate/gtest_include_dir -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/himbaechel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/common -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/frontend/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/json/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/rust/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/json11/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/place/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/route/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/oourafft/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/himbaechel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui -isystem /gnu/store/r94kayv6y93mj1hgc13k11klha366dsw-eigen-3.4.0/include/eigen3 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtWidgets -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtGui -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtCore -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/lib/qt5/mkspecs/linux-g++ -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -fdiagnostics-color=always -fPIC -MD -MT himbaechel/uarch/gatemate/CMakeFiles/nextpnr-himbaechel-gatemate-test.dir/__/__/__/common/kernel/nextpnr_assertions.cc.o -MF CMakeFiles/nextpnr-himbaechel-gatemate-test.dir/__/__/__/common/kernel/nextpnr_assertions.cc.o.d -o CMakeFiles/nextpnr-himbaechel-gatemate-test.dir/__/__/__/common/kernel/nextpnr_assertions.cc.o -c /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/nextpnr_assertions.cc [ 95%] Building CXX object himbaechel/uarch/gatemate/CMakeFiles/nextpnr-himbaechel-gatemate.dir/__/__/arch_pybindings.cc.o cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/himbaechel/uarch/gatemate && /gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/bin/c++ -DARCHNAME=himbaechel -DARCH_HIMBAECHEL -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DNEXTPNR_NAMESPACE=nextpnr_himbaechel -DNO_RUST -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -Dnextpnr_himbaechel_gatemate_EXPORTS -I/gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/include/python3.11 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/himbaechel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/common -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/frontend/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/json/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/rust/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/json11/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/place/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/route/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/oourafft/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/himbaechel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui -isystem /gnu/store/r94kayv6y93mj1hgc13k11klha366dsw-eigen-3.4.0/include/eigen3 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtWidgets -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtGui -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtCore -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/lib/qt5/mkspecs/linux-g++ -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -fdiagnostics-color=always -fPIC -MD -MT himbaechel/uarch/gatemate/CMakeFiles/nextpnr-himbaechel-gatemate.dir/__/__/arch_pybindings.cc.o -MF CMakeFiles/nextpnr-himbaechel-gatemate.dir/__/__/arch_pybindings.cc.o.d -o CMakeFiles/nextpnr-himbaechel-gatemate.dir/__/__/arch_pybindings.cc.o -c /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/himbaechel/arch_pybindings.cc [ 95%] Building CXX object himbaechel/uarch/gatemate/CMakeFiles/nextpnr-himbaechel-gatemate.dir/__/__/arch.cc.o cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/himbaechel/uarch/gatemate && /gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/bin/c++ -DARCHNAME=himbaechel -DARCH_HIMBAECHEL -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DNEXTPNR_NAMESPACE=nextpnr_himbaechel -DNO_RUST -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -Dnextpnr_himbaechel_gatemate_EXPORTS -I/gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/include/python3.11 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/himbaechel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/common -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/frontend/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/json/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/rust/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/json11/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/place/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/route/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/oourafft/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/himbaechel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui -isystem /gnu/store/r94kayv6y93mj1hgc13k11klha366dsw-eigen-3.4.0/include/eigen3 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtWidgets -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtGui -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtCore -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/lib/qt5/mkspecs/linux-g++ -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -fdiagnostics-color=always -fPIC -MD -MT himbaechel/uarch/gatemate/CMakeFiles/nextpnr-himbaechel-gatemate.dir/__/__/arch.cc.o -MF CMakeFiles/nextpnr-himbaechel-gatemate.dir/__/__/arch.cc.o.d -o CMakeFiles/nextpnr-himbaechel-gatemate.dir/__/__/arch.cc.o -c /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/himbaechel/arch.cc [ 95%] Building CXX object himbaechel/uarch/gatemate/CMakeFiles/nextpnr-himbaechel-gatemate-test.dir/__/__/__/common/kernel/idstringlist.cc.o cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/himbaechel/uarch/gatemate && /gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/bin/c++ -DARCHNAME=himbaechel -DARCH_HIMBAECHEL -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DGTEST_LINKED_AS_SHARED_LIBRARY=1 -DNEXTPNR_NAMESPACE=nextpnr_himbaechel -DNO_RUST -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -Dnextpnr_himbaechel_gatemate_test_EXPORTS -I/gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/include/python3.11 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/himbaechel/uarch/gatemate/gtest_include_dir -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/himbaechel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/common -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/frontend/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/json/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/rust/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/json11/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/place/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/route/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/oourafft/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/himbaechel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui -isystem /gnu/store/r94kayv6y93mj1hgc13k11klha366dsw-eigen-3.4.0/include/eigen3 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtWidgets -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtGui -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtCore -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/lib/qt5/mkspecs/linux-g++ -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -fdiagnostics-color=always -fPIC -MD -MT himbaechel/uarch/gatemate/CMakeFiles/nextpnr-himbaechel-gatemate-test.dir/__/__/__/common/kernel/idstringlist.cc.o -MF CMakeFiles/nextpnr-himbaechel-gatemate-test.dir/__/__/__/common/kernel/idstringlist.cc.o.d -o CMakeFiles/nextpnr-himbaechel-gatemate-test.dir/__/__/__/common/kernel/idstringlist.cc.o -c /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/idstringlist.cc [ 96%] Building CXX object himbaechel/uarch/gatemate/CMakeFiles/nextpnr-himbaechel-gatemate-test.dir/__/__/__/common/kernel/embed.cc.o [ 96%] Building CXX object himbaechel/uarch/gatemate/CMakeFiles/nextpnr-himbaechel-gatemate-test.dir/__/__/__/common/kernel/handle_error.cc.o [ 96%] Building CXX object himbaechel/uarch/gatemate/CMakeFiles/nextpnr-himbaechel-gatemate-test.dir/__/__/__/common/kernel/idstring.cc.o cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/himbaechel/uarch/gatemate && /gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/bin/c++ -DARCHNAME=himbaechel -DARCH_HIMBAECHEL -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DGTEST_LINKED_AS_SHARED_LIBRARY=1 -DNEXTPNR_NAMESPACE=nextpnr_himbaechel -DNO_RUST -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -Dnextpnr_himbaechel_gatemate_test_EXPORTS -I/gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/include/python3.11 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/himbaechel/uarch/gatemate/gtest_include_dir -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/himbaechel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/common -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/frontend/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/json/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/rust/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/json11/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/place/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/route/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/oourafft/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/himbaechel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui -isystem /gnu/store/r94kayv6y93mj1hgc13k11klha366dsw-eigen-3.4.0/include/eigen3 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtWidgets -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtGui -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtCore -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/lib/qt5/mkspecs/linux-g++ -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -fdiagnostics-color=always -fPIC -MD -MT himbaechel/uarch/gatemate/CMakeFiles/nextpnr-himbaechel-gatemate-test.dir/__/__/__/common/kernel/idstring.cc.o -MF CMakeFiles/nextpnr-himbaechel-gatemate-test.dir/__/__/__/common/kernel/idstring.cc.o.d -o CMakeFiles/nextpnr-himbaechel-gatemate-test.dir/__/__/__/common/kernel/idstring.cc.o -c /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/idstring.cc cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/himbaechel/uarch/gatemate && /gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/bin/c++ -DARCHNAME=himbaechel -DARCH_HIMBAECHEL -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DGTEST_LINKED_AS_SHARED_LIBRARY=1 -DNEXTPNR_NAMESPACE=nextpnr_himbaechel -DNO_RUST -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -Dnextpnr_himbaechel_gatemate_test_EXPORTS -I/gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/include/python3.11 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/himbaechel/uarch/gatemate/gtest_include_dir -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/himbaechel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/common -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/frontend/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/json/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/rust/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/json11/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/place/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/route/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/oourafft/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/himbaechel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui -isystem /gnu/store/r94kayv6y93mj1hgc13k11klha366dsw-eigen-3.4.0/include/eigen3 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtWidgets -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtGui -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtCore -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/lib/qt5/mkspecs/linux-g++ -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -fdiagnostics-color=always -fPIC -MD -MT himbaechel/uarch/gatemate/CMakeFiles/nextpnr-himbaechel-gatemate-test.dir/__/__/__/common/kernel/handle_error.cc.o -MF CMakeFiles/nextpnr-himbaechel-gatemate-test.dir/__/__/__/common/kernel/handle_error.cc.o.d -o CMakeFiles/nextpnr-himbaechel-gatemate-test.dir/__/__/__/common/kernel/handle_error.cc.o -c /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/handle_error.cc cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/himbaechel/uarch/gatemate && /gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/bin/c++ -DARCHNAME=himbaechel -DARCH_HIMBAECHEL -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DGTEST_LINKED_AS_SHARED_LIBRARY=1 -DNEXTPNR_NAMESPACE=nextpnr_himbaechel -DNO_RUST -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -Dnextpnr_himbaechel_gatemate_test_EXPORTS -I/gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/include/python3.11 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/himbaechel/uarch/gatemate/gtest_include_dir -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/himbaechel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/common -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/frontend/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/json/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/rust/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/json11/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/place/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/route/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/oourafft/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/himbaechel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui -isystem /gnu/store/r94kayv6y93mj1hgc13k11klha366dsw-eigen-3.4.0/include/eigen3 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtWidgets -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtGui -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtCore -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/lib/qt5/mkspecs/linux-g++ -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -fdiagnostics-color=always -fPIC -MD -MT himbaechel/uarch/gatemate/CMakeFiles/nextpnr-himbaechel-gatemate-test.dir/__/__/__/common/kernel/embed.cc.o -MF CMakeFiles/nextpnr-himbaechel-gatemate-test.dir/__/__/__/common/kernel/embed.cc.o.d -o CMakeFiles/nextpnr-himbaechel-gatemate-test.dir/__/__/__/common/kernel/embed.cc.o -c /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/embed.cc [ 96%] Building CXX object himbaechel/uarch/gatemate/CMakeFiles/nextpnr-himbaechel-gatemate-test.dir/__/__/__/common/kernel/basectx.cc.o [ 96%] Building CXX object himbaechel/uarch/gatemate/CMakeFiles/nextpnr-himbaechel-gatemate-test.dir/__/__/__/common/kernel/design_utils.cc.o cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/himbaechel/uarch/gatemate && /gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/bin/c++ -DARCHNAME=himbaechel -DARCH_HIMBAECHEL -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DGTEST_LINKED_AS_SHARED_LIBRARY=1 -DNEXTPNR_NAMESPACE=nextpnr_himbaechel -DNO_RUST -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -Dnextpnr_himbaechel_gatemate_test_EXPORTS -I/gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/include/python3.11 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/himbaechel/uarch/gatemate/gtest_include_dir -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/himbaechel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/common -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/frontend/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/json/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/rust/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/json11/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/place/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/route/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/oourafft/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/himbaechel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui -isystem /gnu/store/r94kayv6y93mj1hgc13k11klha366dsw-eigen-3.4.0/include/eigen3 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtWidgets -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtGui -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtCore -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/lib/qt5/mkspecs/linux-g++ -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -fdiagnostics-color=always -fPIC -MD -MT himbaechel/uarch/gatemate/CMakeFiles/nextpnr-himbaechel-gatemate-test.dir/__/__/__/common/kernel/design_utils.cc.o -MF CMakeFiles/nextpnr-himbaechel-gatemate-test.dir/__/__/__/common/kernel/design_utils.cc.o.d -o CMakeFiles/nextpnr-himbaechel-gatemate-test.dir/__/__/__/common/kernel/design_utils.cc.o -c /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/design_utils.cc [ 96%] Building CXX object himbaechel/uarch/gatemate/CMakeFiles/nextpnr-himbaechel-gatemate-test.dir/__/__/__/common/kernel/context.cc.o cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/himbaechel/uarch/gatemate && /gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/bin/c++ -DARCHNAME=himbaechel -DARCH_HIMBAECHEL -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DGTEST_LINKED_AS_SHARED_LIBRARY=1 -DNEXTPNR_NAMESPACE=nextpnr_himbaechel -DNO_RUST -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -Dnextpnr_himbaechel_gatemate_test_EXPORTS -I/gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/include/python3.11 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/himbaechel/uarch/gatemate/gtest_include_dir -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/himbaechel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/common -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/frontend/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/json/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/rust/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/json11/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/place/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/route/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/oourafft/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/himbaechel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui -isystem /gnu/store/r94kayv6y93mj1hgc13k11klha366dsw-eigen-3.4.0/include/eigen3 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtWidgets -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtGui -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtCore -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/lib/qt5/mkspecs/linux-g++ -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -fdiagnostics-color=always -fPIC -MD -MT himbaechel/uarch/gatemate/CMakeFiles/nextpnr-himbaechel-gatemate-test.dir/__/__/__/common/kernel/basectx.cc.o -MF CMakeFiles/nextpnr-himbaechel-gatemate-test.dir/__/__/__/common/kernel/basectx.cc.o.d -o CMakeFiles/nextpnr-himbaechel-gatemate-test.dir/__/__/__/common/kernel/basectx.cc.o -c /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/basectx.cc [ 96%] Building CXX object himbaechel/uarch/gatemate/CMakeFiles/nextpnr-himbaechel-gatemate-test.dir/__/__/__/common/kernel/command.cc.o [ 96%] Building CXX object himbaechel/uarch/gatemate/CMakeFiles/nextpnr-himbaechel-gatemate-test.dir/__/__/__/common/kernel/archcheck.cc.o [ 96%] Building CXX object himbaechel/uarch/gatemate/CMakeFiles/nextpnr-himbaechel-gatemate-test.dir/__/__/__/common/kernel/bits.cc.o [ 97%] Building CXX object himbaechel/uarch/gatemate/CMakeFiles/nextpnr-himbaechel-gatemate-test.dir/route_mult.cc.o cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/himbaechel/uarch/gatemate && /gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/bin/c++ -DARCHNAME=himbaechel -DARCH_HIMBAECHEL -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DGTEST_LINKED_AS_SHARED_LIBRARY=1 -DNEXTPNR_NAMESPACE=nextpnr_himbaechel -DNO_RUST -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -Dnextpnr_himbaechel_gatemate_test_EXPORTS -I/gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/include/python3.11 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/himbaechel/uarch/gatemate/gtest_include_dir -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/himbaechel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/common -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/frontend/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/json/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/rust/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/json11/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/place/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/route/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/oourafft/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/himbaechel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui -isystem /gnu/store/r94kayv6y93mj1hgc13k11klha366dsw-eigen-3.4.0/include/eigen3 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtWidgets -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtGui -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtCore -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/lib/qt5/mkspecs/linux-g++ -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -fdiagnostics-color=always -fPIC -MD -MT himbaechel/uarch/gatemate/CMakeFiles/nextpnr-himbaechel-gatemate-test.dir/__/__/__/common/kernel/context.cc.o -MF CMakeFiles/nextpnr-himbaechel-gatemate-test.dir/__/__/__/common/kernel/context.cc.o.d -o CMakeFiles/nextpnr-himbaechel-gatemate-test.dir/__/__/__/common/kernel/context.cc.o -c /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/context.cc [ 97%] Building CXX object himbaechel/uarch/gatemate/CMakeFiles/nextpnr-himbaechel-gatemate-test.dir/pack_serdes.cc.o [ 97%] Building CXX object himbaechel/uarch/gatemate/CMakeFiles/nextpnr-himbaechel-gatemate-test.dir/pack_mult.cc.o [ 97%] Building CXX object himbaechel/uarch/gatemate/CMakeFiles/nextpnr-himbaechel-gatemate-test.dir/route_clock.cc.o [ 97%] Building CXX object himbaechel/uarch/gatemate/CMakeFiles/nextpnr-himbaechel-gatemate-test.dir/pll.cc.o cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/himbaechel/uarch/gatemate && /gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/bin/c++ -DARCHNAME=himbaechel -DARCH_HIMBAECHEL -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DGTEST_LINKED_AS_SHARED_LIBRARY=1 -DNEXTPNR_NAMESPACE=nextpnr_himbaechel -DNO_RUST -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -Dnextpnr_himbaechel_gatemate_test_EXPORTS -I/gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/include/python3.11 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/himbaechel/uarch/gatemate/gtest_include_dir -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/himbaechel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/common -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/frontend/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/json/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/rust/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/json11/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/place/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/route/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/oourafft/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/himbaechel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui -isystem /gnu/store/r94kayv6y93mj1hgc13k11klha366dsw-eigen-3.4.0/include/eigen3 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtWidgets -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtGui -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtCore -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/lib/qt5/mkspecs/linux-g++ -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -fdiagnostics-color=always -fPIC -MD -MT himbaechel/uarch/gatemate/CMakeFiles/nextpnr-himbaechel-gatemate-test.dir/__/__/__/common/kernel/command.cc.o -MF CMakeFiles/nextpnr-himbaechel-gatemate-test.dir/__/__/__/common/kernel/command.cc.o.d -o CMakeFiles/nextpnr-himbaechel-gatemate-test.dir/__/__/__/common/kernel/command.cc.o -c /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/command.cc cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/himbaechel/uarch/gatemate && /gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/bin/c++ -DARCHNAME=himbaechel -DARCH_HIMBAECHEL -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DGTEST_LINKED_AS_SHARED_LIBRARY=1 -DNEXTPNR_NAMESPACE=nextpnr_himbaechel -DNO_RUST -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -Dnextpnr_himbaechel_gatemate_test_EXPORTS -I/gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/include/python3.11 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/himbaechel/uarch/gatemate/gtest_include_dir -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/himbaechel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/common -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/frontend/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/json/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/rust/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/json11/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/place/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/route/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/oourafft/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/himbaechel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui -isystem /gnu/store/r94kayv6y93mj1hgc13k11klha366dsw-eigen-3.4.0/include/eigen3 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtWidgets -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtGui -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtCore -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/lib/qt5/mkspecs/linux-g++ -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -fdiagnostics-color=always -fPIC -MD -MT himbaechel/uarch/gatemate/CMakeFiles/nextpnr-himbaechel-gatemate-test.dir/__/__/__/common/kernel/bits.cc.o -MF CMakeFiles/nextpnr-himbaechel-gatemate-test.dir/__/__/__/common/kernel/bits.cc.o.d -o CMakeFiles/nextpnr-himbaechel-gatemate-test.dir/__/__/__/common/kernel/bits.cc.o -c /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/bits.cc cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/himbaechel/uarch/gatemate && /gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/bin/c++ -DARCHNAME=himbaechel -DARCH_HIMBAECHEL -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DGTEST_LINKED_AS_SHARED_LIBRARY=1 -DNEXTPNR_NAMESPACE=nextpnr_himbaechel -DNO_RUST -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -Dnextpnr_himbaechel_gatemate_test_EXPORTS -I/gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/include/python3.11 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/himbaechel/uarch/gatemate/gtest_include_dir -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/himbaechel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/common -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/frontend/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/json/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/rust/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/json11/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/place/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/route/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/oourafft/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/himbaechel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui -isystem /gnu/store/r94kayv6y93mj1hgc13k11klha366dsw-eigen-3.4.0/include/eigen3 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtWidgets -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtGui -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtCore -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/lib/qt5/mkspecs/linux-g++ -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -fdiagnostics-color=always -fPIC -MD -MT himbaechel/uarch/gatemate/CMakeFiles/nextpnr-himbaechel-gatemate-test.dir/__/__/__/common/kernel/archcheck.cc.o -MF CMakeFiles/nextpnr-himbaechel-gatemate-test.dir/__/__/__/common/kernel/archcheck.cc.o.d -o CMakeFiles/nextpnr-himbaechel-gatemate-test.dir/__/__/__/common/kernel/archcheck.cc.o -c /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/archcheck.cc cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/himbaechel/uarch/gatemate && /gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/bin/c++ -DARCHNAME=himbaechel -DARCH_HIMBAECHEL -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DGTEST_LINKED_AS_SHARED_LIBRARY=1 -DNEXTPNR_NAMESPACE=nextpnr_himbaechel -DNO_RUST -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -Dnextpnr_himbaechel_gatemate_test_EXPORTS -I/gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/include/python3.11 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/himbaechel/uarch/gatemate/gtest_include_dir -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/himbaechel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/common -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/frontend/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/json/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/rust/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/json11/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/place/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/route/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/oourafft/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/himbaechel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui -isystem /gnu/store/r94kayv6y93mj1hgc13k11klha366dsw-eigen-3.4.0/include/eigen3 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtWidgets -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtGui -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtCore -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/lib/qt5/mkspecs/linux-g++ -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -fdiagnostics-color=always -fPIC -MD -MT himbaechel/uarch/gatemate/CMakeFiles/nextpnr-himbaechel-gatemate-test.dir/route_mult.cc.o -MF CMakeFiles/nextpnr-himbaechel-gatemate-test.dir/route_mult.cc.o.d -o CMakeFiles/nextpnr-himbaechel-gatemate-test.dir/route_mult.cc.o -c /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/himbaechel/uarch/gatemate/route_mult.cc [ 97%] Building CXX object himbaechel/uarch/gatemate/CMakeFiles/nextpnr-himbaechel-gatemate-test.dir/pack_clocking.cc.o [ 97%] Building CXX object himbaechel/uarch/gatemate/CMakeFiles/nextpnr-himbaechel-gatemate-test.dir/pack_cpe.cc.o [ 97%] Building CXX object himbaechel/uarch/gatemate/CMakeFiles/nextpnr-himbaechel-gatemate-test.dir/pack_io.cc.o cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/himbaechel/uarch/gatemate && /gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/bin/c++ -DARCHNAME=himbaechel -DARCH_HIMBAECHEL -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DGTEST_LINKED_AS_SHARED_LIBRARY=1 -DNEXTPNR_NAMESPACE=nextpnr_himbaechel -DNO_RUST -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -Dnextpnr_himbaechel_gatemate_test_EXPORTS -I/gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/include/python3.11 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/himbaechel/uarch/gatemate/gtest_include_dir -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/himbaechel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/common -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/frontend/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/json/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/rust/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/json11/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/place/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/route/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/oourafft/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/himbaechel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui -isystem /gnu/store/r94kayv6y93mj1hgc13k11klha366dsw-eigen-3.4.0/include/eigen3 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtWidgets -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtGui -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtCore -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/lib/qt5/mkspecs/linux-g++ -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -fdiagnostics-color=always -fPIC -MD -MT himbaechel/uarch/gatemate/CMakeFiles/nextpnr-himbaechel-gatemate-test.dir/route_clock.cc.o -MF CMakeFiles/nextpnr-himbaechel-gatemate-test.dir/route_clock.cc.o.d -o CMakeFiles/nextpnr-himbaechel-gatemate-test.dir/route_clock.cc.o -c /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/himbaechel/uarch/gatemate/route_clock.cc cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/himbaechel/uarch/gatemate && /gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/bin/c++ -DARCHNAME=himbaechel -DARCH_HIMBAECHEL -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DGTEST_LINKED_AS_SHARED_LIBRARY=1 -DNEXTPNR_NAMESPACE=nextpnr_himbaechel -DNO_RUST -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -Dnextpnr_himbaechel_gatemate_test_EXPORTS -I/gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/include/python3.11 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/himbaechel/uarch/gatemate/gtest_include_dir -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/himbaechel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/common -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/frontend/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/json/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/rust/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/json11/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/place/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/route/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/oourafft/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/himbaechel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui -isystem /gnu/store/r94kayv6y93mj1hgc13k11klha366dsw-eigen-3.4.0/include/eigen3 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtWidgets -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtGui -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtCore -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/lib/qt5/mkspecs/linux-g++ -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -fdiagnostics-color=always -fPIC -MD -MT himbaechel/uarch/gatemate/CMakeFiles/nextpnr-himbaechel-gatemate-test.dir/pll.cc.o -MF CMakeFiles/nextpnr-himbaechel-gatemate-test.dir/pll.cc.o.d -o CMakeFiles/nextpnr-himbaechel-gatemate-test.dir/pll.cc.o -c /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/himbaechel/uarch/gatemate/pll.cc [ 98%] Building CXX object himbaechel/uarch/gatemate/CMakeFiles/nextpnr-himbaechel-gatemate-test.dir/pack_bram.cc.o [ 98%] Building CXX object himbaechel/uarch/gatemate/CMakeFiles/nextpnr-himbaechel-gatemate-test.dir/pack.cc.o cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/himbaechel/uarch/gatemate && /gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/bin/c++ -DARCHNAME=himbaechel -DARCH_HIMBAECHEL -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DGTEST_LINKED_AS_SHARED_LIBRARY=1 -DNEXTPNR_NAMESPACE=nextpnr_himbaechel -DNO_RUST -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -Dnextpnr_himbaechel_gatemate_test_EXPORTS -I/gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/include/python3.11 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/himbaechel/uarch/gatemate/gtest_include_dir -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/himbaechel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/common -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/frontend/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/json/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/rust/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/json11/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/place/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/route/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/oourafft/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/himbaechel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui -isystem /gnu/store/r94kayv6y93mj1hgc13k11klha366dsw-eigen-3.4.0/include/eigen3 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtWidgets -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtGui -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtCore -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/lib/qt5/mkspecs/linux-g++ -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -fdiagnostics-color=always -fPIC -MD -MT himbaechel/uarch/gatemate/CMakeFiles/nextpnr-himbaechel-gatemate-test.dir/pack_serdes.cc.o -MF CMakeFiles/nextpnr-himbaechel-gatemate-test.dir/pack_serdes.cc.o.d -o CMakeFiles/nextpnr-himbaechel-gatemate-test.dir/pack_serdes.cc.o -c /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/himbaechel/uarch/gatemate/pack_serdes.cc cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/himbaechel/uarch/gatemate && /gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/bin/c++ -DARCHNAME=himbaechel -DARCH_HIMBAECHEL -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DGTEST_LINKED_AS_SHARED_LIBRARY=1 -DNEXTPNR_NAMESPACE=nextpnr_himbaechel -DNO_RUST -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -Dnextpnr_himbaechel_gatemate_test_EXPORTS -I/gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/include/python3.11 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/himbaechel/uarch/gatemate/gtest_include_dir -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/himbaechel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/common -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/frontend/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/json/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/rust/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/json11/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/place/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/route/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/oourafft/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/himbaechel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui -isystem /gnu/store/r94kayv6y93mj1hgc13k11klha366dsw-eigen-3.4.0/include/eigen3 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtWidgets -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtGui -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtCore -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/lib/qt5/mkspecs/linux-g++ -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -fdiagnostics-color=always -fPIC -MD -MT himbaechel/uarch/gatemate/CMakeFiles/nextpnr-himbaechel-gatemate-test.dir/pack_mult.cc.o -MF CMakeFiles/nextpnr-himbaechel-gatemate-test.dir/pack_mult.cc.o.d -o CMakeFiles/nextpnr-himbaechel-gatemate-test.dir/pack_mult.cc.o -c /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/himbaechel/uarch/gatemate/pack_mult.cc [ 98%] Building CXX object himbaechel/uarch/gatemate/CMakeFiles/nextpnr-himbaechel-gatemate-test.dir/gfx.cc.o cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/himbaechel/uarch/gatemate && /gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/bin/c++ -DARCHNAME=himbaechel -DARCH_HIMBAECHEL -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DGTEST_LINKED_AS_SHARED_LIBRARY=1 -DNEXTPNR_NAMESPACE=nextpnr_himbaechel -DNO_RUST -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -Dnextpnr_himbaechel_gatemate_test_EXPORTS -I/gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/include/python3.11 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/himbaechel/uarch/gatemate/gtest_include_dir -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/himbaechel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/common -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/frontend/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/json/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/rust/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/json11/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/place/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/route/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/oourafft/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/himbaechel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui -isystem /gnu/store/r94kayv6y93mj1hgc13k11klha366dsw-eigen-3.4.0/include/eigen3 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtWidgets -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtGui -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtCore -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/lib/qt5/mkspecs/linux-g++ -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -fdiagnostics-color=always -fPIC -MD -MT himbaechel/uarch/gatemate/CMakeFiles/nextpnr-himbaechel-gatemate-test.dir/pack_io.cc.o -MF CMakeFiles/nextpnr-himbaechel-gatemate-test.dir/pack_io.cc.o.d -o CMakeFiles/nextpnr-himbaechel-gatemate-test.dir/pack_io.cc.o -c /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/himbaechel/uarch/gatemate/pack_io.cc cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/himbaechel/uarch/gatemate && /gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/bin/c++ -DARCHNAME=himbaechel -DARCH_HIMBAECHEL -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DGTEST_LINKED_AS_SHARED_LIBRARY=1 -DNEXTPNR_NAMESPACE=nextpnr_himbaechel -DNO_RUST -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -Dnextpnr_himbaechel_gatemate_test_EXPORTS -I/gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/include/python3.11 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/himbaechel/uarch/gatemate/gtest_include_dir -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/himbaechel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/common -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/frontend/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/json/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/rust/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/json11/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/place/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/route/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/oourafft/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/himbaechel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui -isystem /gnu/store/r94kayv6y93mj1hgc13k11klha366dsw-eigen-3.4.0/include/eigen3 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtWidgets -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtGui -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtCore -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/lib/qt5/mkspecs/linux-g++ -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -fdiagnostics-color=always -fPIC -MD -MT himbaechel/uarch/gatemate/CMakeFiles/nextpnr-himbaechel-gatemate-test.dir/pack_cpe.cc.o -MF CMakeFiles/nextpnr-himbaechel-gatemate-test.dir/pack_cpe.cc.o.d -o CMakeFiles/nextpnr-himbaechel-gatemate-test.dir/pack_cpe.cc.o -c /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/himbaechel/uarch/gatemate/pack_cpe.cc [ 98%] Building CXX object himbaechel/uarch/gatemate/CMakeFiles/nextpnr-himbaechel-gatemate-test.dir/gatemate.cc.o cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/himbaechel/uarch/gatemate && /gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/bin/c++ -DARCHNAME=himbaechel -DARCH_HIMBAECHEL -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DGTEST_LINKED_AS_SHARED_LIBRARY=1 -DNEXTPNR_NAMESPACE=nextpnr_himbaechel -DNO_RUST -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -Dnextpnr_himbaechel_gatemate_test_EXPORTS -I/gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/include/python3.11 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/himbaechel/uarch/gatemate/gtest_include_dir -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/himbaechel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/common -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/frontend/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/json/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/rust/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/json11/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/place/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/route/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/oourafft/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/himbaechel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui -isystem /gnu/store/r94kayv6y93mj1hgc13k11klha366dsw-eigen-3.4.0/include/eigen3 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtWidgets -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtGui -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtCore -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/lib/qt5/mkspecs/linux-g++ -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -fdiagnostics-color=always -fPIC -MD -MT himbaechel/uarch/gatemate/CMakeFiles/nextpnr-himbaechel-gatemate-test.dir/pack_clocking.cc.o -MF CMakeFiles/nextpnr-himbaechel-gatemate-test.dir/pack_clocking.cc.o.d -o CMakeFiles/nextpnr-himbaechel-gatemate-test.dir/pack_clocking.cc.o -c /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/himbaechel/uarch/gatemate/pack_clocking.cc cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/himbaechel/uarch/gatemate && /gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/bin/c++ -DARCHNAME=himbaechel -DARCH_HIMBAECHEL -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DGTEST_LINKED_AS_SHARED_LIBRARY=1 -DNEXTPNR_NAMESPACE=nextpnr_himbaechel -DNO_RUST -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -Dnextpnr_himbaechel_gatemate_test_EXPORTS -I/gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/include/python3.11 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/himbaechel/uarch/gatemate/gtest_include_dir -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/himbaechel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/common -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/frontend/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/json/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/rust/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/json11/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/place/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/route/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/oourafft/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/himbaechel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui -isystem /gnu/store/r94kayv6y93mj1hgc13k11klha366dsw-eigen-3.4.0/include/eigen3 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtWidgets -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtGui -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtCore -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/lib/qt5/mkspecs/linux-g++ -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -fdiagnostics-color=always -fPIC -MD -MT himbaechel/uarch/gatemate/CMakeFiles/nextpnr-himbaechel-gatemate-test.dir/pack_bram.cc.o -MF CMakeFiles/nextpnr-himbaechel-gatemate-test.dir/pack_bram.cc.o.d -o CMakeFiles/nextpnr-himbaechel-gatemate-test.dir/pack_bram.cc.o -c /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/himbaechel/uarch/gatemate/pack_bram.cc [ 98%] Building CXX object himbaechel/uarch/gatemate/CMakeFiles/nextpnr-himbaechel-gatemate-test.dir/config.cc.o [ 98%] Building CXX object himbaechel/uarch/gatemate/CMakeFiles/nextpnr-himbaechel-gatemate-test.dir/ccf.cc.o [ 98%] Building CXX object himbaechel/uarch/gatemate/CMakeFiles/nextpnr-himbaechel-gatemate-test.dir/delay.cc.o [ 98%] Building CXX object himbaechel/uarch/gatemate/CMakeFiles/nextpnr-himbaechel-gatemate-test.dir/cells.cc.o cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/himbaechel/uarch/gatemate && /gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/bin/c++ -DARCHNAME=himbaechel -DARCH_HIMBAECHEL -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DGTEST_LINKED_AS_SHARED_LIBRARY=1 -DNEXTPNR_NAMESPACE=nextpnr_himbaechel -DNO_RUST -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -Dnextpnr_himbaechel_gatemate_test_EXPORTS -I/gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/include/python3.11 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/himbaechel/uarch/gatemate/gtest_include_dir -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/himbaechel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/common -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/frontend/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/json/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/rust/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/json11/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/place/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/route/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/oourafft/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/himbaechel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui -isystem /gnu/store/r94kayv6y93mj1hgc13k11klha366dsw-eigen-3.4.0/include/eigen3 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtWidgets -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtGui -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtCore -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/lib/qt5/mkspecs/linux-g++ -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -fdiagnostics-color=always -fPIC -MD -MT himbaechel/uarch/gatemate/CMakeFiles/nextpnr-himbaechel-gatemate-test.dir/pack.cc.o -MF CMakeFiles/nextpnr-himbaechel-gatemate-test.dir/pack.cc.o.d -o CMakeFiles/nextpnr-himbaechel-gatemate-test.dir/pack.cc.o -c /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/himbaechel/uarch/gatemate/pack.cc [ 98%] Building CXX object himbaechel/uarch/gatemate/CMakeFiles/nextpnr-himbaechel-gatemate-test.dir/bitstream.cc.o cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/himbaechel/uarch/gatemate && /gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/bin/c++ -DARCHNAME=himbaechel -DARCH_HIMBAECHEL -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DGTEST_LINKED_AS_SHARED_LIBRARY=1 -DNEXTPNR_NAMESPACE=nextpnr_himbaechel -DNO_RUST -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -Dnextpnr_himbaechel_gatemate_test_EXPORTS -I/gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/include/python3.11 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/himbaechel/uarch/gatemate/gtest_include_dir -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/himbaechel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/common -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/frontend/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/json/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/rust/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/json11/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/place/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/route/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/oourafft/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/himbaechel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui -isystem /gnu/store/r94kayv6y93mj1hgc13k11klha366dsw-eigen-3.4.0/include/eigen3 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtWidgets -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtGui -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtCore -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/lib/qt5/mkspecs/linux-g++ -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -fdiagnostics-color=always -fPIC -MD -MT himbaechel/uarch/gatemate/CMakeFiles/nextpnr-himbaechel-gatemate-test.dir/gfx.cc.o -MF CMakeFiles/nextpnr-himbaechel-gatemate-test.dir/gfx.cc.o.d -o CMakeFiles/nextpnr-himbaechel-gatemate-test.dir/gfx.cc.o -c /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/himbaechel/uarch/gatemate/gfx.cc cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/himbaechel/uarch/gatemate && /gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/bin/c++ -DARCHNAME=himbaechel -DARCH_HIMBAECHEL -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DGTEST_LINKED_AS_SHARED_LIBRARY=1 -DNEXTPNR_NAMESPACE=nextpnr_himbaechel -DNO_RUST -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -Dnextpnr_himbaechel_gatemate_test_EXPORTS -I/gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/include/python3.11 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/himbaechel/uarch/gatemate/gtest_include_dir -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/himbaechel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/common -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/frontend/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/json/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/rust/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/json11/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/place/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/route/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/oourafft/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/himbaechel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui -isystem /gnu/store/r94kayv6y93mj1hgc13k11klha366dsw-eigen-3.4.0/include/eigen3 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtWidgets -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtGui -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtCore -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/lib/qt5/mkspecs/linux-g++ -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -fdiagnostics-color=always -fPIC -MD -MT himbaechel/uarch/gatemate/CMakeFiles/nextpnr-himbaechel-gatemate-test.dir/gatemate.cc.o -MF CMakeFiles/nextpnr-himbaechel-gatemate-test.dir/gatemate.cc.o.d -o CMakeFiles/nextpnr-himbaechel-gatemate-test.dir/gatemate.cc.o -c /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/himbaechel/uarch/gatemate/gatemate.cc cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/himbaechel/uarch/gatemate && /gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/bin/c++ -DARCHNAME=himbaechel -DARCH_HIMBAECHEL -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DGTEST_LINKED_AS_SHARED_LIBRARY=1 -DNEXTPNR_NAMESPACE=nextpnr_himbaechel -DNO_RUST -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -Dnextpnr_himbaechel_gatemate_test_EXPORTS -I/gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/include/python3.11 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/himbaechel/uarch/gatemate/gtest_include_dir -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/himbaechel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/common -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/frontend/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/json/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/rust/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/json11/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/place/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/route/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/oourafft/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/himbaechel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui -isystem /gnu/store/r94kayv6y93mj1hgc13k11klha366dsw-eigen-3.4.0/include/eigen3 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtWidgets -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtGui -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtCore -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/lib/qt5/mkspecs/linux-g++ -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -fdiagnostics-color=always -fPIC -MD -MT himbaechel/uarch/gatemate/CMakeFiles/nextpnr-himbaechel-gatemate-test.dir/delay.cc.o -MF CMakeFiles/nextpnr-himbaechel-gatemate-test.dir/delay.cc.o.d -o CMakeFiles/nextpnr-himbaechel-gatemate-test.dir/delay.cc.o -c /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/himbaechel/uarch/gatemate/delay.cc [100%] Building CXX object himbaechel/uarch/gatemate/CMakeFiles/nextpnr-himbaechel-gatemate-test.dir/__/__/himbaechel_api.cc.o [100%] Building CXX object himbaechel/uarch/gatemate/CMakeFiles/nextpnr-himbaechel-gatemate-test.dir/__/__/himbaechel_helpers.cc.o cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/himbaechel/uarch/gatemate && /gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/bin/c++ -DARCHNAME=himbaechel -DARCH_HIMBAECHEL -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DGTEST_LINKED_AS_SHARED_LIBRARY=1 -DNEXTPNR_NAMESPACE=nextpnr_himbaechel -DNO_RUST -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -Dnextpnr_himbaechel_gatemate_test_EXPORTS -I/gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/include/python3.11 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/himbaechel/uarch/gatemate/gtest_include_dir -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/himbaechel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/common -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/frontend/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/json/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/rust/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/json11/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/place/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/route/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/oourafft/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/himbaechel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui -isystem /gnu/store/r94kayv6y93mj1hgc13k11klha366dsw-eigen-3.4.0/include/eigen3 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtWidgets -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtGui -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtCore -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/lib/qt5/mkspecs/linux-g++ -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -fdiagnostics-color=always -fPIC -MD -MT himbaechel/uarch/gatemate/CMakeFiles/nextpnr-himbaechel-gatemate-test.dir/config.cc.o -MF CMakeFiles/nextpnr-himbaechel-gatemate-test.dir/config.cc.o.d -o CMakeFiles/nextpnr-himbaechel-gatemate-test.dir/config.cc.o -c /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/himbaechel/uarch/gatemate/config.cc cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/himbaechel/uarch/gatemate && /gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/bin/c++ -DARCHNAME=himbaechel -DARCH_HIMBAECHEL -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DGTEST_LINKED_AS_SHARED_LIBRARY=1 -DNEXTPNR_NAMESPACE=nextpnr_himbaechel -DNO_RUST -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -Dnextpnr_himbaechel_gatemate_test_EXPORTS -I/gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/include/python3.11 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/himbaechel/uarch/gatemate/gtest_include_dir -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/himbaechel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/common -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/frontend/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/json/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/rust/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/json11/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/place/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/route/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/oourafft/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/himbaechel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui -isystem /gnu/store/r94kayv6y93mj1hgc13k11klha366dsw-eigen-3.4.0/include/eigen3 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtWidgets -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtGui -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtCore -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/lib/qt5/mkspecs/linux-g++ -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -fdiagnostics-color=always -fPIC -MD -MT himbaechel/uarch/gatemate/CMakeFiles/nextpnr-himbaechel-gatemate-test.dir/cells.cc.o -MF CMakeFiles/nextpnr-himbaechel-gatemate-test.dir/cells.cc.o.d -o CMakeFiles/nextpnr-himbaechel-gatemate-test.dir/cells.cc.o -c /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/himbaechel/uarch/gatemate/cells.cc cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/himbaechel/uarch/gatemate && /gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/bin/c++ -DARCHNAME=himbaechel -DARCH_HIMBAECHEL -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DGTEST_LINKED_AS_SHARED_LIBRARY=1 -DNEXTPNR_NAMESPACE=nextpnr_himbaechel -DNO_RUST -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -Dnextpnr_himbaechel_gatemate_test_EXPORTS -I/gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/include/python3.11 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/himbaechel/uarch/gatemate/gtest_include_dir -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/himbaechel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/common -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/frontend/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/json/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/rust/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/json11/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/place/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/route/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/oourafft/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/himbaechel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui -isystem /gnu/store/r94kayv6y93mj1hgc13k11klha366dsw-eigen-3.4.0/include/eigen3 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtWidgets -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtGui -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtCore -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/lib/qt5/mkspecs/linux-g++ -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -fdiagnostics-color=always -fPIC -MD -MT himbaechel/uarch/gatemate/CMakeFiles/nextpnr-himbaechel-gatemate-test.dir/ccf.cc.o -MF CMakeFiles/nextpnr-himbaechel-gatemate-test.dir/ccf.cc.o.d -o CMakeFiles/nextpnr-himbaechel-gatemate-test.dir/ccf.cc.o -c /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/himbaechel/uarch/gatemate/ccf.cc cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/himbaechel/uarch/gatemate && /gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/bin/c++ -DARCHNAME=himbaechel -DARCH_HIMBAECHEL -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DGTEST_LINKED_AS_SHARED_LIBRARY=1 -DNEXTPNR_NAMESPACE=nextpnr_himbaechel -DNO_RUST -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -Dnextpnr_himbaechel_gatemate_test_EXPORTS -I/gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/include/python3.11 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/himbaechel/uarch/gatemate/gtest_include_dir -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/himbaechel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/common -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/frontend/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/json/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/rust/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/json11/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/place/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/route/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/oourafft/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/himbaechel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui -isystem /gnu/store/r94kayv6y93mj1hgc13k11klha366dsw-eigen-3.4.0/include/eigen3 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtWidgets -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtGui -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtCore -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/lib/qt5/mkspecs/linux-g++ -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -fdiagnostics-color=always -fPIC -MD -MT himbaechel/uarch/gatemate/CMakeFiles/nextpnr-himbaechel-gatemate-test.dir/bitstream.cc.o -MF CMakeFiles/nextpnr-himbaechel-gatemate-test.dir/bitstream.cc.o.d -o CMakeFiles/nextpnr-himbaechel-gatemate-test.dir/bitstream.cc.o -c /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/himbaechel/uarch/gatemate/bitstream.cc cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/himbaechel/uarch/gatemate && /gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/bin/c++ -DARCHNAME=himbaechel -DARCH_HIMBAECHEL -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DGTEST_LINKED_AS_SHARED_LIBRARY=1 -DNEXTPNR_NAMESPACE=nextpnr_himbaechel -DNO_RUST -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -Dnextpnr_himbaechel_gatemate_test_EXPORTS -I/gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/include/python3.11 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/himbaechel/uarch/gatemate/gtest_include_dir -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/himbaechel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/common -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/frontend/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/json/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/rust/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/json11/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/place/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/route/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/oourafft/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/himbaechel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui -isystem /gnu/store/r94kayv6y93mj1hgc13k11klha366dsw-eigen-3.4.0/include/eigen3 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtWidgets -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtGui -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtCore -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/lib/qt5/mkspecs/linux-g++ -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -fdiagnostics-color=always -fPIC -MD -MT himbaechel/uarch/gatemate/CMakeFiles/nextpnr-himbaechel-gatemate-test.dir/__/__/himbaechel_helpers.cc.o -MF CMakeFiles/nextpnr-himbaechel-gatemate-test.dir/__/__/himbaechel_helpers.cc.o.d -o CMakeFiles/nextpnr-himbaechel-gatemate-test.dir/__/__/himbaechel_helpers.cc.o -c /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/himbaechel/himbaechel_helpers.cc cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/himbaechel/uarch/gatemate && /gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/bin/c++ -DARCHNAME=himbaechel -DARCH_HIMBAECHEL -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DGTEST_LINKED_AS_SHARED_LIBRARY=1 -DNEXTPNR_NAMESPACE=nextpnr_himbaechel -DNO_RUST -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -Dnextpnr_himbaechel_gatemate_test_EXPORTS -I/gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/include/python3.11 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/himbaechel/uarch/gatemate/gtest_include_dir -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/himbaechel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/common -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/frontend/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/json/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/rust/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/json11/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/place/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/route/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/oourafft/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/himbaechel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui -isystem /gnu/store/r94kayv6y93mj1hgc13k11klha366dsw-eigen-3.4.0/include/eigen3 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtWidgets -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtGui -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtCore -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/lib/qt5/mkspecs/linux-g++ -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -fdiagnostics-color=always -fPIC -MD -MT himbaechel/uarch/gatemate/CMakeFiles/nextpnr-himbaechel-gatemate-test.dir/__/__/himbaechel_api.cc.o -MF CMakeFiles/nextpnr-himbaechel-gatemate-test.dir/__/__/himbaechel_api.cc.o.d -o CMakeFiles/nextpnr-himbaechel-gatemate-test.dir/__/__/himbaechel_api.cc.o -c /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/himbaechel/himbaechel_api.cc [100%] Building CXX object himbaechel/uarch/gatemate/CMakeFiles/nextpnr-himbaechel-gatemate-test.dir/tests/testing.cc.o [100%] Building CXX object himbaechel/uarch/gatemate/CMakeFiles/nextpnr-himbaechel-gatemate-test.dir/tests/main.cc.o [100%] Building CXX object himbaechel/uarch/gatemate/CMakeFiles/nextpnr-himbaechel-gatemate-test.dir/__/__/arch_pybindings.cc.o [100%] Building CXX object himbaechel/uarch/gatemate/CMakeFiles/nextpnr-himbaechel-gatemate-test.dir/__/__/arch.cc.o cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/himbaechel/uarch/gatemate && /gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/bin/c++ -DARCHNAME=himbaechel -DARCH_HIMBAECHEL -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DGTEST_LINKED_AS_SHARED_LIBRARY=1 -DNEXTPNR_NAMESPACE=nextpnr_himbaechel -DNO_RUST -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -Dnextpnr_himbaechel_gatemate_test_EXPORTS -I/gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/include/python3.11 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/himbaechel/uarch/gatemate/gtest_include_dir -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/himbaechel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/common -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/frontend/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/json/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/rust/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/json11/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/place/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/route/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/oourafft/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/himbaechel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui -isystem /gnu/store/r94kayv6y93mj1hgc13k11klha366dsw-eigen-3.4.0/include/eigen3 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtWidgets -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtGui -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtCore -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/lib/qt5/mkspecs/linux-g++ -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -fdiagnostics-color=always -fPIC -MD -MT himbaechel/uarch/gatemate/CMakeFiles/nextpnr-himbaechel-gatemate-test.dir/__/__/arch_pybindings.cc.o -MF CMakeFiles/nextpnr-himbaechel-gatemate-test.dir/__/__/arch_pybindings.cc.o.d -o CMakeFiles/nextpnr-himbaechel-gatemate-test.dir/__/__/arch_pybindings.cc.o -c /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/himbaechel/arch_pybindings.cc cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/himbaechel/uarch/gatemate && /gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/bin/c++ -DARCHNAME=himbaechel -DARCH_HIMBAECHEL -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DGTEST_LINKED_AS_SHARED_LIBRARY=1 -DNEXTPNR_NAMESPACE=nextpnr_himbaechel -DNO_RUST -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -Dnextpnr_himbaechel_gatemate_test_EXPORTS -I/gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/include/python3.11 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/himbaechel/uarch/gatemate/gtest_include_dir -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/himbaechel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/common -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/frontend/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/json/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/rust/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/json11/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/place/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/route/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/oourafft/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/himbaechel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui -isystem /gnu/store/r94kayv6y93mj1hgc13k11klha366dsw-eigen-3.4.0/include/eigen3 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtWidgets -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtGui -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtCore -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/lib/qt5/mkspecs/linux-g++ -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -fdiagnostics-color=always -fPIC -MD -MT himbaechel/uarch/gatemate/CMakeFiles/nextpnr-himbaechel-gatemate-test.dir/__/__/arch.cc.o -MF CMakeFiles/nextpnr-himbaechel-gatemate-test.dir/__/__/arch.cc.o.d -o CMakeFiles/nextpnr-himbaechel-gatemate-test.dir/__/__/arch.cc.o -c /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/himbaechel/arch.cc cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/himbaechel/uarch/gatemate && /gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/bin/c++ -DARCHNAME=himbaechel -DARCH_HIMBAECHEL -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DGTEST_LINKED_AS_SHARED_LIBRARY=1 -DNEXTPNR_NAMESPACE=nextpnr_himbaechel -DNO_RUST -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -Dnextpnr_himbaechel_gatemate_test_EXPORTS -I/gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/include/python3.11 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/himbaechel/uarch/gatemate/gtest_include_dir -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/himbaechel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/common -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/frontend/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/json/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/rust/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/json11/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/place/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/route/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/oourafft/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/himbaechel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui -isystem /gnu/store/r94kayv6y93mj1hgc13k11klha366dsw-eigen-3.4.0/include/eigen3 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtWidgets -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtGui -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtCore -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/lib/qt5/mkspecs/linux-g++ -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -fdiagnostics-color=always -fPIC -MD -MT himbaechel/uarch/gatemate/CMakeFiles/nextpnr-himbaechel-gatemate-test.dir/tests/main.cc.o -MF CMakeFiles/nextpnr-himbaechel-gatemate-test.dir/tests/main.cc.o.d -o CMakeFiles/nextpnr-himbaechel-gatemate-test.dir/tests/main.cc.o -c /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/himbaechel/uarch/gatemate/tests/main.cc cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/himbaechel/uarch/gatemate && /gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/bin/c++ -DARCHNAME=himbaechel -DARCH_HIMBAECHEL -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DGTEST_LINKED_AS_SHARED_LIBRARY=1 -DNEXTPNR_NAMESPACE=nextpnr_himbaechel -DNO_RUST -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -Dnextpnr_himbaechel_gatemate_test_EXPORTS -I/gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/include/python3.11 -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/himbaechel/uarch/gatemate/gtest_include_dir -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/himbaechel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/kernel/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/common -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/frontend/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/json/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/rust/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/json11/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/place/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/common/route/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/3rdparty/oourafft/. -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui/himbaechel -I/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/gui -isystem /gnu/store/r94kayv6y93mj1hgc13k11klha366dsw-eigen-3.4.0/include/eigen3 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5 -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtWidgets -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtGui -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/include/qt5/QtCore -isystem /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/lib/qt5/mkspecs/linux-g++ -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -fdiagnostics-color=always -fPIC -MD -MT himbaechel/uarch/gatemate/CMakeFiles/nextpnr-himbaechel-gatemate-test.dir/tests/testing.cc.o -MF CMakeFiles/nextpnr-himbaechel-gatemate-test.dir/tests/testing.cc.o.d -o CMakeFiles/nextpnr-himbaechel-gatemate-test.dir/tests/testing.cc.o -c /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/source/himbaechel/uarch/gatemate/tests/testing.cc [100%] Linking CXX executable ../../../nextpnr-himbaechel-gatemate cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/himbaechel/uarch/gatemate && /gnu/store/d5c8i3b549r412lkhddggyfx9mqdphs7-cmake-minimal-3.31.10/bin/cmake -E cmake_link_script CMakeFiles/nextpnr-himbaechel-gatemate.dir/link.txt --verbose=1 /gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/bin/c++ -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -Wl,--export-dynamic -rdynamic -Wl,--dependency-file=CMakeFiles/nextpnr-himbaechel-gatemate.dir/link.d "CMakeFiles/nextpnr-himbaechel-gatemate.dir/__/__/main.cc.o" "CMakeFiles/nextpnr-himbaechel-gatemate.dir/__/__/arch.cc.o" "CMakeFiles/nextpnr-himbaechel-gatemate.dir/__/__/arch_pybindings.cc.o" "CMakeFiles/nextpnr-himbaechel-gatemate.dir/__/__/himbaechel_api.cc.o" "CMakeFiles/nextpnr-himbaechel-gatemate.dir/__/__/himbaechel_helpers.cc.o" "CMakeFiles/nextpnr-himbaechel-gatemate.dir/bitstream.cc.o" "CMakeFiles/nextpnr-himbaechel-gatemate.dir/ccf.cc.o" "CMakeFiles/nextpnr-himbaechel-gatemate.dir/cells.cc.o" "CMakeFiles/nextpnr-himbaechel-gatemate.dir/config.cc.o" "CMakeFiles/nextpnr-himbaechel-gatemate.dir/delay.cc.o" "CMakeFiles/nextpnr-himbaechel-gatemate.dir/gatemate.cc.o" "CMakeFiles/nextpnr-himbaechel-gatemate.dir/gfx.cc.o" "CMakeFiles/nextpnr-himbaechel-gatemate.dir/pack.cc.o" "CMakeFiles/nextpnr-himbaechel-gatemate.dir/pack_bram.cc.o" "CMakeFiles/nextpnr-himbaechel-gatemate.dir/pack_clocking.cc.o" "CMakeFiles/nextpnr-himbaechel-gatemate.dir/pack_cpe.cc.o" "CMakeFiles/nextpnr-himbaechel-gatemate.dir/pack_io.cc.o" "CMakeFiles/nextpnr-himbaechel-gatemate.dir/pack_mult.cc.o" "CMakeFiles/nextpnr-himbaechel-gatemate.dir/pack_serdes.cc.o" "CMakeFiles/nextpnr-himbaechel-gatemate.dir/pll.cc.o" "CMakeFiles/nextpnr-himbaechel-gatemate.dir/route_clock.cc.o" "CMakeFiles/nextpnr-himbaechel-gatemate.dir/route_mult.cc.o" "CMakeFiles/nextpnr-himbaechel-gatemate.dir/__/__/__/common/kernel/archcheck.cc.o" "CMakeFiles/nextpnr-himbaechel-gatemate.dir/__/__/__/common/kernel/basectx.cc.o" "CMakeFiles/nextpnr-himbaechel-gatemate.dir/__/__/__/common/kernel/bits.cc.o" "CMakeFiles/nextpnr-himbaechel-gatemate.dir/__/__/__/common/kernel/command.cc.o" "CMakeFiles/nextpnr-himbaechel-gatemate.dir/__/__/__/common/kernel/context.cc.o" "CMakeFiles/nextpnr-himbaechel-gatemate.dir/__/__/__/common/kernel/design_utils.cc.o" "CMakeFiles/nextpnr-himbaechel-gatemate.dir/__/__/__/common/kernel/embed.cc.o" "CMakeFiles/nextpnr-himbaechel-gatemate.dir/__/__/__/common/kernel/handle_error.cc.o" "CMakeFiles/nextpnr-himbaechel-gatemate.dir/__/__/__/common/kernel/idstring.cc.o" "CMakeFiles/nextpnr-himbaechel-gatemate.dir/__/__/__/common/kernel/idstringlist.cc.o" "CMakeFiles/nextpnr-himbaechel-gatemate.dir/__/__/__/common/kernel/log.cc.o" "CMakeFiles/nextpnr-himbaechel-gatemate.dir/__/__/__/common/kernel/nextpnr_assertions.cc.o" "CMakeFiles/nextpnr-himbaechel-gatemate.dir/__/__/__/common/kernel/nextpnr.cc.o" "CMakeFiles/nextpnr-himbaechel-gatemate.dir/__/__/__/common/kernel/nextpnr_namespaces.cc.o" "CMakeFiles/nextpnr-himbaechel-gatemate.dir/__/__/__/common/kernel/nextpnr_types.cc.o" "CMakeFiles/nextpnr-himbaechel-gatemate.dir/__/__/__/common/kernel/property.cc.o" "CMakeFiles/nextpnr-himbaechel-gatemate.dir/__/__/__/common/kernel/pybindings.cc.o" "CMakeFiles/nextpnr-himbaechel-gatemate.dir/__/__/__/common/kernel/report.cc.o" "CMakeFiles/nextpnr-himbaechel-gatemate.dir/__/__/__/common/kernel/sdc.cc.o" "CMakeFiles/nextpnr-himbaechel-gatemate.dir/__/__/__/common/kernel/sdf.cc.o" "CMakeFiles/nextpnr-himbaechel-gatemate.dir/__/__/__/common/kernel/str_ring_buffer.cc.o" "CMakeFiles/nextpnr-himbaechel-gatemate.dir/__/__/__/common/kernel/svg.cc.o" "CMakeFiles/nextpnr-himbaechel-gatemate.dir/__/__/__/common/kernel/timing.cc.o" "CMakeFiles/nextpnr-himbaechel-gatemate.dir/__/__/__/common/kernel/timing_log.cc.o" "CMakeFiles/nextpnr-himbaechel-gatemate.dir/__/__/__/frontend/json_frontend.cc.o" "CMakeFiles/nextpnr-himbaechel-gatemate.dir/__/__/__/json/jsonwrite.cc.o" "CMakeFiles/nextpnr-himbaechel-gatemate.dir/__/__/__/common/place/detail_place_core.cc.o" "CMakeFiles/nextpnr-himbaechel-gatemate.dir/__/__/__/common/place/parallel_refine.cc.o" "CMakeFiles/nextpnr-himbaechel-gatemate.dir/__/__/__/common/place/place_common.cc.o" "CMakeFiles/nextpnr-himbaechel-gatemate.dir/__/__/__/common/place/placer1.cc.o" "CMakeFiles/nextpnr-himbaechel-gatemate.dir/__/__/__/common/place/plac[100%] Linking CXX executable ../../../nextpnr-himbaechel-gatemate-test cd /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/himbaechel/uarch/gatemate && /gnu/store/d5c8i3b549r412lkhddggyfx9mqdphs7-cmake-minimal-3.31.10/bin/cmake -E cmake_link_script CMakeFiles/nextpnr-himbaechel-gatemate-test.dir/link.txt --verbose=1 /gnu/store/pm409aqyb5i21sf9kn8li31p91sfrj38-gcc-14.3.0/bin/c++ -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -Wl,--export-dynamic -rdynamic -Wl,--dependency-file=CMakeFiles/nextpnr-himbaechel-gatemate-test.dir/link.d "CMakeFiles/nextpnr-himbaechel-gatemate-test.dir/tests/lut.cc.o" "CMakeFiles/nextpnr-himbaechel-gatemate-test.dir/tests/testing.cc.o" "CMakeFiles/nextpnr-himbaechel-gatemate-test.dir/tests/main.cc.o" "CMakeFiles/nextpnr-himbaechel-gatemate-test.dir/__/__/arch.cc.o" "CMakeFiles/nextpnr-himbaechel-gatemate-test.dir/__/__/arch_pybindings.cc.o" "CMakeFiles/nextpnr-himbaechel-gatemate-test.dir/__/__/himbaechel_api.cc.o" "CMakeFiles/nextpnr-himbaechel-gatemate-test.dir/__/__/himbaechel_helpers.cc.o" "CMakeFiles/nextpnr-himbaechel-gatemate-test.dir/bitstream.cc.o" "CMakeFiles/nextpnr-himbaechel-gatemate-test.dir/ccf.cc.o" "CMakeFiles/nextpnr-himbaechel-gatemate-test.dir/cells.cc.o" "CMakeFiles/nextpnr-himbaechel-gatemate-test.dir/config.cc.o" "CMakeFiles/nextpnr-himbaechel-gatemate-test.dir/delay.cc.o" "CMakeFiles/nextpnr-himbaechel-gatemate-test.dir/gatemate.cc.o" "CMakeFiles/nextpnr-himbaechel-gatemate-test.dir/gfx.cc.o" "CMakeFiles/nextpnr-himbaechel-gatemate-test.dir/pack.cc.o" "CMakeFiles/nextpnr-himbaechel-gatemate-test.dir/pack_bram.cc.o" "CMakeFiles/nextpnr-himbaechel-gatemate-test.dir/pack_clocking.cc.o" "CMakeFiles/nextpnr-himbaechel-gatemate-test.dir/pack_cpe.cc.o" "CMakeFiles/nextpnr-himbaechel-gatemate-test.dir/pack_io.cc.o" "CMakeFiles/nextpnr-himbaechel-gatemate-test.dir/pack_mult.cc.o" "CMakeFiles/nextpnr-himbaechel-gatemate-test.dir/pack_serdes.cc.o" "CMakeFiles/nextpnr-himbaechel-gatemate-test.dir/pll.cc.o" "CMakeFiles/nextpnr-himbaechel-gatemate-test.dir/route_clock.cc.o" "CMakeFiles/nextpnr-himbaechel-gatemate-test.dir/route_mult.cc.o" "CMakeFiles/nextpnr-himbaechel-gatemate-test.dir/__/__/__/common/kernel/archcheck.cc.o" "CMakeFiles/nextpnr-himbaechel-gatemate-test.dir/__/__/__/common/kernel/basectx.cc.o" "CMakeFiles/nextpnr-himbaechel-gatemate-test.dir/__/__/__/common/kernel/bits.cc.o" "CMakeFiles/nextpnr-himbaechel-gatemate-test.dir/__/__/__/common/kernel/command.cc.o" "CMakeFiles/nextpnr-himbaechel-gatemate-test.dir/__/__/__/common/kernel/context.cc.o" "CMakeFiles/nextpnr-himbaechel-gatemate-test.dir/__/__/__/common/kernel/design_utils.cc.o" "CMakeFiles/nextpnr-himbaechel-gatemate-test.dir/__/__/__/common/kernel/embed.cc.o" "CMakeFiles/nextpnr-himbaechel-gatemate-test.dir/__/__/__/common/kernel/handle_error.cc.o" "CMakeFiles/nextpnr-himbaechel-gatemate-test.dir/__/__/__/common/kernel/idstring.cc.o" "CMakeFiles/nextpnr-himbaechel-gatemate-test.dir/__/__/__/common/kernel/idstringlist.cc.o" "CMakeFiles/nextpnr-himbaechel-gatemate-test.dir/__/__/__/common/kernel/log.cc.o" "CMakeFiles/nextpnr-himbaechel-gatemate-test.dir/__/__/__/common/kernel/nextpnr_assertions.cc.o" "CMakeFiles/nextpnr-himbaechel-gatemate-test.dir/__/__/__/common/kernel/nextpnr.cc.o" "CMakeFiles/nextpnr-himbaechel-gatemate-test.dir/__/__/__/common/kernel/nextpnr_namespaces.cc.o" "CMakeFiles/nextpnr-himbaechel-gatemate-test.dir/__/__/__/common/kernel/nextpnr_types.cc.o" "CMakeFiles/nextpnr-himbaechel-gatemate-test.dir/__/__/__/common/kernel/property.cc.o" "CMakeFiles/nextpnr-himbaechel-gatemate-test.dir/__/__/__/common/kernel/pybindings.cc.o" "CMakeFiles/nextpnr-himbaechel-gatemate-test.dir/__/__/__/common/kernel/report.cc.o" "CMakeFiles/nextpnr-himbaechel-gatemate-test.dir/__/__/__/common/kernel/sdc.cc.o" "CMakeFiles/nextpnr-himbaechel-gatemate-test.dir/__/__/__/common/kernel/sdf.cc.o" "CMakeFiles/nextpnr-himbaechel-gatemate-test.dir/__/__/__/common/kernel/str_ring_buffer.cc.o" "CMakeFiles/nextpnr-himbaechel-gatemate-test.dir/__/__/__/common/kernel/svg.cc.o" "CMakeFiles/nextpnr-himbaechel-gatemate-test.dir/__/__/__/common/kernel/timing.cc.o" "CMakeFiles/nextpnr-himbaechel-gatemate-test.dir/__/__/__/common/kernel/timing_log.cc.o" "CMakeFiles/nextpnr-himbaechel-gatemate-test.dir/__/__/__/frontend/json_frontend.cc.o" "CMakeFiles/nextpnr-himbaechel-gatemate-test.dir/__/__/__/json/jsonwrite.cc.o" "CMakeFiles/nextpnr-himbaechel-gatemate-test.dir/__/__/__/common/place/detail_place_core.cc.o" "CMakeFiles/nextpnr-himbaechel-gatemate-test.dir/__/__/__/common/place/parallel_refine.cc.o" "CMakeFiles/nextpnr-himbaechel-gatemate-test.dir/__/__/__/common/place/place_common.cc.o" "CMakeFiles/nextpnr-himbaechel-gatemate-test.dir/__/__/__/common/place/placer1.cc.o" "CMakeFiles/nextpnr-himbaechel-gatemate-test.dir/__/__/__/common/place/placer_heap.cc.o" "CMakeFiles/nextpnr-himbaechel-gatemate-test.dir/__/__/__/common/place/placer_static.cc.o" "CMakeFiles/nextpnr-himbaechel-gatemate-test.dir/__/__/__/common/place/timing_opt.cc.o" "CMakeFiles/nextpnr-himbaechel-gatemate-test.dir/__/__/__/common/route/router1.cc.o" "CMakeFiles/nextpnr-himbaechel-gatemate-test.dir/__/__/__/common/route/router2.cc.o" "CMakeFiles/nextpnr-himbaechel-gatemate-test.dir/__/__/__/3rdparty/oourafft/fftsg.cc.o" "CMakeFiles/nextpnr-himbaechel-gatemate-test.dir/__/__/__/3rdparty/oourafft/fftsg2d.cc.o" "CMakeFiles/nextpnr-himbaechel-gatemate-test.dir/__/__/__/tests/gui/quadtree.cc.o" "gui/CMakeFiles/nextpnr-himbaechel-gatemate-gui.dir/nextpnr-himbaechel-gatemate-gui_autogen/mocs_compilation.cpp.o" "gui/CMakeFiles/nextpnr-himbaechel-gatemate-gui.dir/application.cc.o" "gui/CMakeFiles/nextpnr-himbaechel-gatemate-gui.dir/basewindow.cc.o" "gui/CMakeFiles/nextpnr-himbaechel-gatemate-gui.dir/designwidget.cc.o" "gui/CMakeFiles/nextpnr-himbaechel-gatemate-gui.dir/fpgaviewwidget.cc.o" "gui/CMakeFiles/nextpnr-himbaechel-gatemate-gui.dir/line_editor.cc.o" "gui/CMakeFiles/nextpnr-himbaechel-gatemate-gui.dir/lineshader.cc.o" "gui/CMakeFiles/nextpnr-himbaechel-gatemate-gui.dir/pyconsole.cc.o" "gui/CMakeFiles/nextpnr-himbaechel-gatemate-gui.dir/pythontab.cc.o" "gui/CMakeFiles/nextpnr-himbaechel-gatemate-gui.dir/treemodel.cc.o" "gui/CMakeFiles/nextpnr-himbaechel-gatemate-gui.dir/worker.cc.o" "gui/CMakeFiles/nextpnr-himbaechel-gatemate-gui.dir/himbaechel/mainwindow.cc.o" "gui/CMakeFiles/nextpnr-himbaechel-gatemate-gui.dir/qrc_base.cpp.o" "gui/CMakeFiles/nextpnr-himbaechel-gatemate-gui.dir/qrc_nextpnr.cpp.o" "gui/CMakeFiles/nextpnr-himbaechel-gatemate-gui.dir/__/3rdparty/python-console/ColumnFormatter.cpp.o" "gui/CMakeFiles/nextpnr-himbaechel-gatemate-gui.dir/__/3rdparty/python-console/ParseHelper.cpp.o" "gui/CMakeFiles/nextpnr-himbaechel-gatemate-gui.dir/__/3rdparty/python-console/ParseHelper.BlockParseState.cpp.o" "gui/CMakeFiles/nextpnr-himbaechel-gatemate-gui.dir/__/3rdparty/python-console/ParseHelper.BracketParseState.cpp.o" "gui/CMakeFiles/nextpnr-himbaechel-gatemate-gui.dir/__/3rdparty/python-console/ParseHelper.ContinuationParseState.cpp.o" "gui/CMakeFiles/nextpnr-himbaechel-gatemate-gui.dir/__/3rdparty/python-console/ParseMessage.cpp.o" "gui/CMakeFiles/nextpnr-himbaechel-gatemate-gui.dir/__/3rdparty/python-console/modified/pyredirector.cc.o" "gui/CMakeFiles/nextpnr-himbaechel-gatemate-gui.dir/__/3rdparty/python-console/modified/pyinterpreter.cc.o" -o ../../../nextpnr-himbaechel-gatemate-test /gnu/store/8plnc3lpnfhyqyy4khcjzm7lhnvvnnw7-googletest-1.17.0/lib/libgtest_main.so.1.17.0 /gnu/store/8plnc3lpnfhyqyy4khcjzm7lhnvvnnw7-googletest-1.17.0/lib/libgtest.so.1.17.0 ../../../3rdparty/json11/libjson11.a /gnu/store/4vxzslxx9fcvhcq0cqjr168shg8s1kwa-boost-1.83.0/lib/libboost_filesystem.so.1.83.0 /gnu/store/4vxzslxx9fcvhcq0cqjr168shg8s1kwa-boost-1.83.0/lib/libboost_atomic.so.1.83.0 /gnu/store/4vxzslxx9fcvhcq0cqjr168shg8s1kwa-boost-1.83.0/lib/libboost_program_options.so.1.83.0 /gnu/store/4vxzslxx9fcvhcq0cqjr168shg8s1kwa-boost-1.83.0/lib/libboost_iostreams.so.1.83.0 /gnu/store/4vxzslxx9fcvhcq0cqjr168shg8s1kwa-boost-1.83.0/lib/libboost_system.so.1.83.0 /gnu/store/4vxzslxx9fcvhcq0cqjr168shg8s1kwa-boost-1.83.0/lib/libboost_thread.so.1.83.0 /gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/lib/libpython3.11.so /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/lib/libQt5OpenGL.so.5.15.17 ../../../3rdparty/QtPropertyBrowser/src/libQtPropertyBrowser.a /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/lib/libQt5Widgets.so.5.15.17 /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/lib/er_heap.cc.o" "CMakeFiles/nextpnr-himbaechel-gatemate.dir/__/__/__/common/place/placer_static.cc.o" "CMakeFiles/nextpnr-himbaechel-gatemate.dir/__/__/__/common/place/timing_opt.cc.o" "CMakeFiles/nextpnr-himbaechel-gatemate.dir/__/__/__/common/route/router1.cc.o" "CMakeFiles/nextpnr-himbaechel-gatemate.dir/__/__/__/common/route/router2.cc.o" "CMakeFiles/nextpnr-himbaechel-gatemate.dir/__/__/__/3rdparty/oourafft/fftsg.cc.o" "CMakeFiles/nextpnr-himbaechel-gatemate.dir/__/__/__/3rdparty/oourafft/fftsg2d.cc.o" "gui/CMakeFiles/nextpnr-himbaechel-gatemate-gui.dir/nextpnr-himbaechel-gatemate-gui_autogen/mocs_compilation.cpp.o" "gui/CMakeFiles/nextpnr-himbaechel-gatemate-gui.dir/application.cc.o" "gui/CMakeFiles/nextpnr-himbaechel-gatemate-gui.dir/basewindow.cc.o" "gui/CMakeFiles/nextpnr-himbaechel-gatemate-gui.dir/designwidget.cc.o" "gui/CMakeFiles/nextpnr-himbaechel-gatemate-gui.dir/fpgaviewwidget.cc.o" "gui/CMakeFiles/nextpnr-himbaechel-gatemate-gui.dir/line_editor.cc.o" "gui/CMakeFiles/nextpnr-himbaechel-gatemate-gui.dir/lineshader.cc.o" "gui/CMakeFiles/nextpnr-himbaechel-gatemate-gui.dir/pyconsole.cc.o" "gui/CMakeFiles/nextpnr-himbaechel-gatemate-gui.dir/pythontab.cc.o" "gui/CMakeFiles/nextpnr-himbaechel-gatemate-gui.dir/treemodel.cc.o" "gui/CMakeFiles/nextpnr-himbaechel-gatemate-gui.dir/worker.cc.o" "gui/CMakeFiles/nextpnr-himbaechel-gatemate-gui.dir/himbaechel/mainwindow.cc.o" "gui/CMakeFiles/nextpnr-himbaechel-gatemate-gui.dir/qrc_base.cpp.o" "gui/CMakeFiles/nextpnr-himbaechel-gatemate-gui.dir/qrc_nextpnr.cpp.o" "gui/CMakeFiles/nextpnr-himbaechel-gatemate-gui.dir/__/3rdparty/python-console/ColumnFormatter.cpp.o" "gui/CMakeFiles/nextpnr-himbaechel-gatemate-gui.dir/__/3rdparty/python-console/ParseHelper.cpp.o" "gui/CMakeFiles/nextpnr-himbaechel-gatemate-gui.dir/__/3rdparty/python-console/ParseHelper.BlockParseState.cpp.o" "gui/CMakeFiles/nextpnr-himbaechel-gatemate-gui.dir/__/3rdparty/python-console/ParseHelper.BracketParseState.cpp.o" "gui/CMakeFiles/nextpnr-himbaechel-gatemate-gui.dir/__/3rdparty/python-console/ParseHelper.ContinuationParseState.cpp.o" "gui/CMakeFiles/nextpnr-himbaechel-gatemate-gui.dir/__/3rdparty/python-console/ParseMessage.cpp.o" "gui/CMakeFiles/nextpnr-himbaechel-gatemate-gui.dir/__/3rdparty/python-console/modified/pyredirector.cc.o" "gui/CMakeFiles/nextpnr-himbaechel-gatemate-gui.dir/__/3rdparty/python-console/modified/pyinterpreter.cc.o" -o ../../../nextpnr-himbaechel-gatemate -Wl,-rpath,::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::: ../../../3rdparty/json11/libjson11.a /gnu/store/4vxzslxx9fcvhcq0cqjr168shg8s1kwa-boost-1.83.0/lib/libboost_filesystem.so.1.83.0 /gnu/store/4vxzslxx9fcvhcq0cqjr168shg8s1kwa-boost-1.83.0/lib/libboost_atomic.so.1.83.0 /gnu/store/4vxzslxx9fcvhcq0cqjr168shg8s1kwa-boost-1.83.0/lib/libboost_program_options.so.1.83.0 /gnu/store/4vxzslxx9fcvhcq0cqjr168shg8s1kwa-boost-1.83.0/lib/libboost_iostreams.so.1.83.0 /gnu/store/4vxzslxx9fcvhcq0cqjr168shg8s1kwa-boost-1.83.0/lib/libboost_system.so.1.83.0 /gnu/store/4vxzslxx9fcvhcq0cqjr168shg8s1kwa-boost-1.83.0/lib/libboost_thread.so.1.83.0 /gnu/store/dyap956rh4955by9aqw0sgcbr9i7lv7n-python-3.11.14/lib/libpython3.11.so /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/lib/libQt5OpenGL.so.5.15.17 ../../../3rdparty/QtPropertyBrowser/src/libQtPropertyBrowser.a /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/lib/libQt5Widgets.so.5.15.17 /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/lib/libQt5Gui.so.5.15.17 /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/lib/libQt5Core.so.5.15.17 -limgui /gnu/store/ny8visn9x0y1r3bfylrirfbjprg3nh15-qtimgui-0.0-0.48d64a7/lib/libqt_imgui_widgets.so make[2]: Leaving directory '/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build' [100%] Built target nextpnr-himbaechel-gatemate libQt5Gui.so.5.15.17 /gnu/store/0mabfqzvfas3z3va6k0yqz20b8in2294-qtbase-5.15.17/lib/libQt5Core.so.5.15.17 -limgui /gnu/store/ny8visn9x0y1r3bfylrirfbjprg3nh15-qtimgui-0.0-0.48d64a7/lib/libqt_imgui_widgets.so make[2]: Leaving directory '/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build' [100%] Built target nextpnr-himbaechel-gatemate-test make[1]: Leaving directory '/tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build' /gnu/store/d5c8i3b549r412lkhddggyfx9mqdphs7-cmake-minimal-3.31.10/bin/cmake -E cmake_progress_start /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build/CMakeFiles 0 phase `build' succeeded after 840.3 seconds starting phase `check-setup' phase `check-setup' succeeded after 0.0 seconds starting phase `check' Test project /tmp/guix-build-nextpnr-ice40-0.9-0.ad76625.drv-0/build Start 1: nextpnr-generic-test Start 2: nextpnr-ice40-test Start 3: nextpnr-ecp5-test Start 4: nextpnr-himbaechel-ng-ultra-test Start 5: nextpnr-himbaechel-gowin-test Start 6: nextpnr-himbaechel-gatemate-test 1/6 Test #3: nextpnr-ecp5-test .................. Passed 1.89 sec 2/6 Test #5: nextpnr-himbaechel-gowin-test ...... Passed 2.07 sec 3/6 Test #1: nextpnr-generic-test ............... Passed 2.08 sec 4/6 Test #6: nextpnr-himbaechel-gatemate-test ... Passed 2.75 sec 5/6 Test #4: nextpnr-himbaechel-ng-ultra-test ... Passed 5.43 sec 6/6 Test #2: nextpnr-ice40-test ................. Passed 18.19 sec 100% tests passed, 0 tests failed out of 6 Total Test time (real) = 18.20 sec phase `check' succeeded after 18.2 seconds starting phase `install' -- Install configuration: "RelWithDebInfo" -- Installing: /gnu/store/0ic4fw2cga4kfqqgvjijjzgjc3skdb13-nextpnr-ice40-0.9-0.ad76625/bin/nextpnr-generic -- Set non-toolchain portion of runtime path of "/gnu/store/0ic4fw2cga4kfqqgvjijjzgjc3skdb13-nextpnr-ice40-0.9-0.ad76625/bin/nextpnr-generic" to "/gnu/store/0ic4fw2cga4kfqqgvjijjzgjc3skdb13-nextpnr-ice40-0.9-0.ad76625/lib" -- Installing: /gnu/store/0ic4fw2cga4kfqqgvjijjzgjc3skdb13-nextpnr-ice40-0.9-0.ad76625/bin/nextpnr-ice40 -- Set non-toolchain portion of runtime path of "/gnu/store/0ic4fw2cga4kfqqgvjijjzgjc3skdb13-nextpnr-ice40-0.9-0.ad76625/bin/nextpnr-ice40" to "/gnu/store/0ic4fw2cga4kfqqgvjijjzgjc3skdb13-nextpnr-ice40-0.9-0.ad76625/lib" -- Installing: /gnu/store/0ic4fw2cga4kfqqgvjijjzgjc3skdb13-nextpnr-ice40-0.9-0.ad76625/bin/nextpnr-ecp5 -- Set non-toolchain portion of runtime path of "/gnu/store/0ic4fw2cga4kfqqgvjijjzgjc3skdb13-nextpnr-ice40-0.9-0.ad76625/bin/nextpnr-ecp5" to "/gnu/store/0ic4fw2cga4kfqqgvjijjzgjc3skdb13-nextpnr-ice40-0.9-0.ad76625/lib" -- Installing: /gnu/store/0ic4fw2cga4kfqqgvjijjzgjc3skdb13-nextpnr-ice40-0.9-0.ad76625/bin/nextpnr-himbaechel-ng-ultra -- Set non-toolchain portion of runtime path of "/gnu/store/0ic4fw2cga4kfqqgvjijjzgjc3skdb13-nextpnr-ice40-0.9-0.ad76625/bin/nextpnr-himbaechel-ng-ultra" to "/gnu/store/0ic4fw2cga4kfqqgvjijjzgjc3skdb13-nextpnr-ice40-0.9-0.ad76625/lib" -- Installing: /gnu/store/0ic4fw2cga4kfqqgvjijjzgjc3skdb13-nextpnr-ice40-0.9-0.ad76625/share/nextpnr/himbaechel/ng-ultra/chipdb-ng-ultra.bin -- Installing: /gnu/store/0ic4fw2cga4kfqqgvjijjzgjc3skdb13-nextpnr-ice40-0.9-0.ad76625/bin/nextpnr-himbaechel-gowin -- Set non-toolchain portion of runtime path of "/gnu/store/0ic4fw2cga4kfqqgvjijjzgjc3skdb13-nextpnr-ice40-0.9-0.ad76625/bin/nextpnr-himbaechel-gowin" to "/gnu/store/0ic4fw2cga4kfqqgvjijjzgjc3skdb13-nextpnr-ice40-0.9-0.ad76625/lib" -- Installing: /gnu/store/0ic4fw2cga4kfqqgvjijjzgjc3skdb13-nextpnr-ice40-0.9-0.ad76625/share/nextpnr/himbaechel/gowin/chipdb-GW1N-1.bin -- Installing: /gnu/store/0ic4fw2cga4kfqqgvjijjzgjc3skdb13-nextpnr-ice40-0.9-0.ad76625/share/nextpnr/himbaechel/gowin/chipdb-GW1NZ-1.bin -- Installing: /gnu/store/0ic4fw2cga4kfqqgvjijjzgjc3skdb13-nextpnr-ice40-0.9-0.ad76625/share/nextpnr/himbaechel/gowin/chipdb-GW1N-4.bin -- Installing: /gnu/store/0ic4fw2cga4kfqqgvjijjzgjc3skdb13-nextpnr-ice40-0.9-0.ad76625/share/nextpnr/himbaechel/gowin/chipdb-GW1N-9.bin -- Installing: /gnu/store/0ic4fw2cga4kfqqgvjijjzgjc3skdb13-nextpnr-ice40-0.9-0.ad76625/share/nextpnr/himbaechel/gowin/chipdb-GW1N-9C.bin -- Installing: /gnu/store/0ic4fw2cga4kfqqgvjijjzgjc3skdb13-nextpnr-ice40-0.9-0.ad76625/share/nextpnr/himbaechel/gowin/chipdb-GW1NS-4.bin -- Installing: /gnu/store/0ic4fw2cga4kfqqgvjijjzgjc3skdb13-nextpnr-ice40-0.9-0.ad76625/share/nextpnr/himbaechel/gowin/chipdb-GW2A-18.bin -- Installing: /gnu/store/0ic4fw2cga4kfqqgvjijjzgjc3skdb13-nextpnr-ice40-0.9-0.ad76625/share/nextpnr/himbaechel/gowin/chipdb-GW2A-18C.bin -- Installing: /gnu/store/0ic4fw2cga4kfqqgvjijjzgjc3skdb13-nextpnr-ice40-0.9-0.ad76625/share/nextpnr/himbaechel/gowin/chipdb-GW5A-25A.bin -- Installing: /gnu/store/0ic4fw2cga4kfqqgvjijjzgjc3skdb13-nextpnr-ice40-0.9-0.ad76625/bin/nextpnr-himbaechel-gatemate -- Set non-toolchain portion of runtime path of "/gnu/store/0ic4fw2cga4kfqqgvjijjzgjc3skdb13-nextpnr-ice40-0.9-0.ad76625/bin/nextpnr-himbaechel-gatemate" to "/gnu/store/0ic4fw2cga4kfqqgvjijjzgjc3skdb13-nextpnr-ice40-0.9-0.ad76625/lib" -- Installing: /gnu/store/0ic4fw2cga4kfqqgvjijjzgjc3skdb13-nextpnr-ice40-0.9-0.ad76625/share/nextpnr/himbaechel/gatemate/chipdb-CCGM1A1.bin -- Installing: /gnu/store/0ic4fw2cga4kfqqgvjijjzgjc3skdb13-nextpnr-ice40-0.9-0.ad76625/share/nextpnr/himbaechel/gatemate/chipdb-CCGM1A2.bin phase `install' succeeded after 1.5 seconds starting phase `run-tests' [==========] Running 33 tests from 7 test suites. [----------] Global test environment set-up. [----------] 3 tests from QuadTreeTest [ RUN ] QuadTreeTest.insert_bound_checking [ OK ] QuadTreeTest.insert_bound_checking (0 ms) [ RUN ] QuadTreeTest.insert_count [ OK ] QuadTreeTest.insert_count (1948 ms) [ RUN ] QuadTreeTest.insert_retrieve_same [ OK ] QuadTreeTest.insert_retrieve_same (46 ms) [----------] 3 tests from QuadTreeTest (1995 ms total) [----------] 5 tests from UP5KTest [ RUN ] UP5KTest.bel_names [ OK ] UP5KTest.bel_names (7 ms) [ RUN ] UP5KTest.wire_names [ OK ] UP5KTest.wire_names (14 ms) [ RUN ] UP5KTest.pip_names [ OK ] UP5KTest.pip_names (2744 ms) [ RUN ] UP5KTest.uphill_to_downhill [ OK ] UP5KTest.uphill_to_downhill (640 ms) [ RUN ] UP5KTest.downhill_to_uphill [ OK ] UP5KTest.downhill_to_uphill (105 ms) [----------] 5 tests from UP5KTest (3513 ms total) [----------] 5 tests from LP8KTest [ RUN ] LP8KTest.bel_names [ OK ] LP8KTest.bel_names (2 ms) [ RUN ] LP8KTest.wire_names [ OK ] LP8KTest.wire_names (22 ms) [ RUN ] LP8KTest.pip_names [ OK ] LP8KTest.pip_names (3093 ms) [ RUN ] LP8KTest.uphill_to_downhill [ OK ] LP8KTest.uphill_to_downhill (465 ms) [ RUN ] LP8KTest.downhill_to_uphill [ OK ] LP8KTest.downhill_to_uphill (140 ms) [----------] 5 tests from LP8KTest (3725 ms total) [----------] 5 tests from LP384Test [ RUN ] LP384Test.bel_names [ OK ] LP384Test.bel_names (0 ms) [ RUN ] LP384Test.wire_names [ OK ] LP384Test.wire_names (0 ms) [ RUN ] LP384Test.pip_names [ OK ] LP384Test.pip_names (149 ms) [ RUN ] LP384Test.uphill_to_downhill [ OK ] LP384Test.uphill_to_downhill (9 ms) [ RUN ] LP384Test.downhill_to_uphill [ OK ] LP384Test.downhill_to_uphill (5 ms) [----------] 5 tests from LP384Test (166 ms total) [----------] 5 tests from LP1KTest [ RUN ] LP1KTest.bel_names [ OK ] LP1KTest.bel_names (0 ms) [ RUN ] LP1KTest.wire_names [ OK ] LP1KTest.wire_names (2 ms) [ RUN ] LP1KTest.pip_names [ OK ] LP1KTest.pip_names (544 ms) [ RUN ] LP1KTest.uphill_to_downhill [ OK ] LP1KTest.uphill_to_downhill (46 ms) [ RUN ] LP1KTest.downhill_to_uphill [ OK ] LP1KTest.downhill_to_uphill (24 ms) [----------] 5 tests from LP1KTest (619 ms total) [----------] 5 tests from HX8KTest [ RUN ] HX8KTest.bel_names [ OK ] HX8KTest.bel_names (4 ms) [ RUN ] HX8KTest.wire_names [ OK ] HX8KTest.wire_names (12 ms) [ RUN ] HX8KTest.pip_names [ OK ] HX8KTest.pip_names (4374 ms) [ RUN ] HX8KTest.uphill_to_downhill [ OK ] HX8KTest.uphill_to_downhill (478 ms) [ RUN ] HX8KTest.downhill_to_uphill [ OK ] HX8KTest.downhill_to_uphill (151 ms) [----------] 5 tests from HX8KTest (5021 ms total) [----------] 5 tests from HX1KTest [ RUN ] HX1KTest.bel_names [ OK ] HX1KTest.bel_names (0 ms) [ RUN ] HX1KTest.wire_names [ OK ] HX1KTest.wire_names (20 ms) [ RUN ] HX1KTest.pip_names [ OK ] HX1KTest.pip_names (591 ms) [ RUN ] HX1KTest.uphill_to_downhill [ OK ] HX1KTest.uphill_to_downhill (51 ms) [ RUN ] HX1KTest.downhill_to_uphill [ OK ] HX1KTest.downhill_to_uphill (28 ms) [----------] 5 tests from HX1KTest (692 ms total) [----------] Global test environment tear-down [==========] 33 tests from 7 test suites ran. (15733 ms total) [ PASSED ] 33 tests. + yosys -q -p 'synth_ice40 -json attosoc.json -top attosoc' attosoc.v picorv32.v + nextpnr-ice40 --hx8k --json attosoc.json --pcf attosoc.pcf --asc attosoc.asc --freq 50 Warning: Use of default value for --package is deprecated. Please add '--package ct256' to arguments. Info: constrained 'clk' to bel 'X0/Y31/io1' Info: constrained 'led[0]' to bel 'X0/Y31/io0' Info: constrained 'led[1]' to bel 'X0/Y30/io1' Info: constrained 'led[2]' to bel 'X0/Y30/io0' Info: constrained 'led[3]' to bel 'X0/Y28/io1' Info: constrained 'led[4]' to bel 'X0/Y28/io0' Info: constrained 'led[5]' to bel 'X0/Y27/io1' Info: constrained 'led[6]' to bel 'X0/Y27/io0' Info: constrained 'led[7]' to bel 'X0/Y25/io1' Info: Packing constants.. Info: Packing IOs.. Info: Packing LUT-FFs.. Info: 1106 LCs used as LUT4 only Info: 357 LCs used as LUT4 and DFF Info: Packing non-LUT FFs.. Info: 135 LCs used as DFF only Info: Packing carries.. Info: 11 LCs used as CARRY only Info: Packing indirect carry+LUT pairs... Info: 2 LUTs merged into carry LCs Info: Packing RAMs.. Info: Placing PLLs.. Info: Packing special functions.. Info: Packing PLLs.. Info: Promoting globals.. Info: promoting clk$SB_IO_IN (fanout 500) Info: promoting reset_cnt_SB_LUT4_I1_O[1] [reset] (fanout 192) Info: promoting cpu.instr_bne_SB_LUT4_I0_O_SB_LUT4_I2_O [reset] (fanout 26) Info: promoting cpu.is_slti_blt_slt_SB_LUT4_I0_O_SB_LUT4_I3_O[1] [cen] (fanout 62) Info: promoting cpu.decoder_pseudo_trigger_SB_LUT4_I3_O[0] [cen] (fanout 43) Info: promoting cpu.count_instr_SB_DFFESR_Q_E [cen] (fanout 32) Info: promoting cpu.reg_op2_SB_DFFE_Q_29_D_SB_LUT4_O_I3_SB_LUT4_I3_O_SB_LUT4_I3_O[1] [cen] (fanout 32) Info: Constraining chains... Info: 10 LCs used to legalise carry chains. Info: Checksum: 0x57a75cac Info: Device utilisation: Info: ICESTORM_LC: 1619/ 7680 21% Info: ICESTORM_RAM: 4/ 32 12% Info: SB_IO: 9/ 256 3% Info: SB_GB: 7/ 8 87% Info: ICESTORM_PLL: 0/ 2 0% Info: SB_WARMBOOT: 0/ 1 0% Info: Placed 9 cells based on constraints. Info: Creating initial analytic placement for 1337 cells, random placement wirelen = 47662. Info: at initial placer iter 0, wirelen = 449 Info: at initial placer iter 1, wirelen = 483 Info: at initial placer iter 2, wirelen = 462 Info: at initial placer iter 3, wirelen = 480 Info: Running main analytical placer, max placement attempts per cell = 335790. Info: at iteration #1, type ALL: wirelen solved = 459, spread = 16768, legal = 16780; time = 0.06s Info: at iteration #2, type ALL: wirelen solved = 955, spread = 11477, legal = 12770; time = 0.12s Info: at iteration #3, type ALL: wirelen solved = 760, spread = 11136, legal = 11871; time = 0.10s Info: at iteration #4, type ALL: wirelen solved = 935, spread = 10386, legal = 11097; time = 0.08s Info: at iteration #5, type ALL: wirelen solved = 1112, spread = 9591, legal = 10443; time = 0.08s Info: at iteration #6, type ALL: wirelen solved = 1371, spread = 9207, legal = 10169; time = 0.09s Info: at iteration #7, type ALL: wirelen solved = 1601, spread = 8236, legal = 9657; time = 0.08s Info: at iteration #8, type ALL: wirelen solved = 2005, spread = 8378, legal = 9277; time = 0.07s Info: at iteration #9, type ALL: wirelen solved = 2411, spread = 7561, legal = 8541; time = 0.06s Info: at iteration #10, type ALL: wirelen solved = 2801, spread = 7665, legal = 8468; time = 0.06s Info: at iteration #11, type ALL: wirelen solved = 2796, spread = 7758, legal = 8816; time = 0.10s Info: at iteration #12, type ALL: wirelen solved = 3282, spread = 7945, legal = 8636; time = 0.07s Info: at iteration #13, type ALL: wirelen solved = 3666, spread = 7474, legal = 8134; time = 0.08s Info: at iteration #14, type ALL: wirelen solved = 3687, spread = 7694, legal = 8602; time = 0.07s Info: at iteration #15, type ALL: wirelen solved = 3930, spread = 7434, legal = 8267; time = 0.06s Info: at iteration #16, type ALL: wirelen solved = 4162, spread = 7566, legal = 8227; time = 0.07s Info: at iteration #17, type ALL: wirelen solved = 4437, spread = 7411, legal = 8000; time = 0.07s Info: at iteration #18, type ALL: wirelen solved = 4389, spread = 7286, legal = 8259; time = 0.07s Info: at iteration #19, type ALL: wirelen solved = 4426, spread = 7432, legal = 8059; time = 0.09s Info: at iteration #20, type ALL: wirelen solved = 4757, spread = 7356, legal = 8255; time = 0.09s Info: at iteration #21, type ALL: wirelen solved = 4672, spread = 7502, legal = 8312; time = 0.07s Info: at iteration #22, type ALL: wirelen solved = 4796, spread = 7232, legal = 8253; time = 0.06s Info: HeAP Placer Time: 2.89s Info: of which solving equations: 1.38s Info: of which spreading cells: 0.12s Info: of which strict legalisation: 0.28s Info: Running simulated annealing placer for refinement. Info: at iteration #1: temp = 0.000000, timing cost = 119, wirelen = 8000 Info: at iteration #5: temp = 0.000000, timing cost = 201, wirelen = 7080 Info: at iteration #10: temp = 0.000000, timing cost = 187, wirelen = 6764 Info: at iteration #15: temp = 0.000000, timing cost = 188, wirelen = 6628 Info: at iteration #20: temp = 0.000000, timing cost = 186, wirelen = 6569 Info: at iteration #25: temp = 0.000000, timing cost = 186, wirelen = 6548 Info: at iteration #26: temp = 0.000000, timing cost = 186, wirelen = 6547 Info: SA placement time 6.42s Info: Max frequency for clock 'clk$SB_IO_IN_$glb_clk': 72.44 MHz (PASS at 50.00 MHz) Info: Max delay posedge clk$SB_IO_IN_$glb_clk -> : 2.09 ns Info: Slack histogram: Info: legend: * represents 3 endpoint(s) Info: + represents [1,3) endpoint(s) Info: [ 6195, 6819) |*****+ Info: [ 6819, 7443) |*******+ Info: [ 7443, 8067) |*****+ Info: [ 8067, 8691) |********+ Info: [ 8691, 9315) |******+ Info: [ 9315, 9939) |***************************+ Info: [ 9939, 10563) |*************************************+ Info: [ 10563, 11187) |*********************************+ Info: [ 11187, 11811) |*******************************+ Info: [ 11811, 12435) |**********************************************+ Info: [ 12435, 13059) |************************************************************ Info: [ 13059, 13683) |*****************************+ Info: [ 13683, 14307) |*************************************+ Info: [ 14307, 14931) |****************************+ Info: [ 14931, 15555) |*****************************+ Info: [ 15555, 16179) |******************************+ Info: [ 16179, 16803) |********************+ Info: [ 16803, 17427) |********************************+ Info: [ 17427, 18051) |*********************************************+ Info: [ 18051, 18675) |************************+ Info: Checksum: 0xc650c95b Info: Routing.. Info: Setting up routing queue. Info: Routing 5712 arcs. Info: | (re-)routed arcs | delta | remaining| time spent | Info: IterCnt | w/ripup wo/ripup | w/r wo/r | arcs| batch(sec) total(sec)| Info: 1000 | 53 946 | 53 946 | 4803| 1.35 1.35| Info: 2000 | 183 1816 | 130 870 | 4078| 0.76 2.11| Info: 3000 | 376 2563 | 193 747 | 3419| 0.59 2.70| Info: 4000 | 673 3241 | 297 678 | 2893| 0.59 3.29| Info: 5000 | 949 3888 | 276 647 | 2413| 0.60 3.89| Info: 6000 | 1335 4475 | 386 587 | 2044| 0.66 4.55| Info: 7000 | 1729 5080 | 394 605 | 1781| 0.63 5.18| Info: 8000 | 2150 5659 | 421 579 | 1497| 0.68 5.86| Info: 9000 | 2602 6205 | 452 546 | 1200| 0.57 6.44| Info: 10000 | 2986 6767 | 384 562 | 742| 0.47 6.90| Info: 11000 | 3438 7311 | 452 544 | 473| 0.42 7.33| Info: 12000 | 3857 7892 | 419 581 | 58| 0.65 7.97| Info: 12074 | 3871 7953 | 14 61 | 0| 0.09 8.06| Info: Routing complete. Info: Router1 time 8.06s Info: Checksum: 0x067595ac Info: Critical path report for clock 'clk$SB_IO_IN_$glb_clk' (posedge -> posedge): Info: type curr total name Info: clk-to-q 0.54 0.54 Source cpu.reg_op2_SB_DFFE_Q_31_D_SB_LUT4_O_LC.O Info: routing 1.59 2.13 Net cpu.alu_out_q_SB_DFF_Q_27_D_SB_LUT4_O_I2_SB_LUT4_O_1_I1_SB_LUT4_O_1_I3_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_LUT4_I3_O[0] (12,15) -> (6,16) Info: Sink cpu.alu_out_q_SB_DFF_Q_27_D_SB_LUT4_O_I2_SB_LUT4_O_1_I1_SB_LUT4_O_I3_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_I1_SB_LUT4_O_LC.I3 Info: Defined in: Info: picorv32.v:160.43-160.50 Info: logic 0.31 2.44 Source cpu.alu_out_q_SB_DFF_Q_27_D_SB_LUT4_O_I2_SB_LUT4_O_1_I1_SB_LUT4_O_I3_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_I1_SB_LUT4_O_LC.O Info: routing 0.59 3.03 Net cpu.alu_out_q_SB_DFF_Q_27_D_SB_LUT4_O_I2_SB_LUT4_O_1_I1_SB_LUT4_O_I3_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_I1[2] (6,16) -> (5,17) Info: Sink cpu.alu_out_q_SB_DFF_Q_27_D_SB_LUT4_O_I2_SB_LUT4_O_1_I1_SB_LUT4_O_I3_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_I1_SB_LUT4_I2_LC.I2 Info: Defined in: Info: picorv32.v:1219.30-1219.47 Info: /gnu/store/5sp1931djvgr9zdcykwpridnzmrpw7rw-yosys-0.60/bin/../share/yosys/ice40/arith_map.v:62.5-70.4 Info: /gnu/store/5sp1931djvgr9zdcykwpridnzmrpw7rw-yosys-0.60/bin/../share/yosys/ice40/cells_map.v:6.21-6.22 Info: logic 0.23 3.26 Source cpu.alu_out_q_SB_DFF_Q_27_D_SB_LUT4_O_I2_SB_LUT4_O_1_I1_SB_LUT4_O_I3_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_I1_SB_LUT4_I2_LC.COUT Info: routing 0.00 3.26 Net cpu.alu_out_q_SB_DFF_Q_27_D_SB_LUT4_O_I2_SB_LUT4_O_1_I1_SB_LUT4_O_I3_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI (5,17) -> (5,17) Info: Sink cpu.alu_out_q_SB_DFF_Q_27_D_SB_LUT4_O_I2_SB_LUT4_O_1_I1_SB_LUT4_O_I3_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO$CARRY.CIN Info: Defined in: Info: picorv32.v:1219.30-1219.47 Info: /gnu/store/5sp1931djvgr9zdcykwpridnzmrpw7rw-yosys-0.60/bin/../share/yosys/ice40/arith_map.v:62.5-70.4 Info: /gnu/store/5sp1931djvgr9zdcykwpridnzmrpw7rw-yosys-0.60/bin/../share/yosys/ice40/abc9_model.v:4.9-4.11 Info: logic 0.13 3.39 Source cpu.alu_out_q_SB_DFF_Q_27_D_SB_LUT4_O_I2_SB_LUT4_O_1_I1_SB_LUT4_O_I3_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO$CARRY.COUT Info: routing 0.00 3.39 Net cpu.alu_out_q_SB_DFF_Q_27_D_SB_LUT4_O_I2_SB_LUT4_O_1_I1_SB_LUT4_O_I3_SB_CARRY_CO_CI_SB_CARRY_CO_CI (5,17) -> (5,17) Info: Sink cpu.alu_out_q_SB_DFF_Q_27_D_SB_LUT4_O_I2_SB_LUT4_O_1_I1_SB_LUT4_O_I3_SB_CARRY_CO_CI_SB_CARRY_CO_I1_SB_LUT4_I2_LC.CIN Info: Defined in: Info: picorv32.v:1219.30-1219.47 Info: /gnu/store/5sp1931djvgr9zdcykwpridnzmrpw7rw-yosys-0.60/bin/../share/yosys/ice40/arith_map.v:62.5-70.4 Info: /gnu/store/5sp1931djvgr9zdcykwpridnzmrpw7rw-yosys-0.60/bin/../share/yosys/ice40/cells_map.v:6.21-6.22 Info: logic 0.13 3.52 Source cpu.alu_out_q_SB_DFF_Q_27_D_SB_LUT4_O_I2_SB_LUT4_O_1_I1_SB_LUT4_O_I3_SB_CARRY_CO_CI_SB_CARRY_CO_I1_SB_LUT4_I2_LC.COUT Info: routing 0.00 3.52 Net cpu.alu_out_q_SB_DFF_Q_27_D_SB_LUT4_O_I2_SB_LUT4_O_1_I1_SB_LUT4_O_I3_SB_CARRY_CO_CI (5,17) -> (5,17) Info: Sink cpu.alu_out_q_SB_DFF_Q_27_D_SB_LUT4_O_I2_SB_LUT4_O_1_I1_SB_LUT4_O_I3_SB_CARRY_CO_I1_SB_LUT4_I2_LC.CIN Info: Defined in: Info: picorv32.v:1219.30-1219.47 Info: /gnu/store/5sp1931djvgr9zdcykwpridnzmrpw7rw-yosys-0.60/bin/../share/yosys/ice40/arith_map.v:62.5-70.4 Info: /gnu/store/5sp1931djvgr9zdcykwpridnzmrpw7rw-yosys-0.60/bin/../share/yosys/ice40/cells_map.v:6.21-6.22 Info: logic 0.13 3.64 Source cpu.alu_out_q_SB_DFF_Q_27_D_SB_LUT4_O_I2_SB_LUT4_O_1_I1_SB_LUT4_O_I3_SB_CARRY_CO_I1_SB_LUT4_I2_LC.COUT Info: routing 0.00 3.64 Net cpu.alu_out_q_SB_DFF_Q_27_D_SB_LUT4_O_I2_SB_LUT4_O_1_I1_SB_LUT4_O_I2[3] (5,17) -> (5,17) Info: Sink cpu.alu_out_q_SB_DFF_Q_27_D_SB_LUT4_O_I2_SB_LUT4_O_1_I1_SB_LUT4_O_LC.CIN Info: Defined in: Info: picorv32.v:1219.30-1219.47 Info: /gnu/store/5sp1931djvgr9zdcykwpridnzmrpw7rw-yosys-0.60/bin/../share/yosys/ice40/arith_map.v:62.5-70.4 Info: /gnu/store/5sp1931djvgr9zdcykwpridnzmrpw7rw-yosys-0.60/bin/../share/yosys/ice40/abc9_model.v:4.9-4.11 Info: logic 0.13 3.77 Source cpu.alu_out_q_SB_DFF_Q_27_D_SB_LUT4_O_I2_SB_LUT4_O_1_I1_SB_LUT4_O_LC.COUT Info: routing 0.00 3.77 Net cpu.alu_out_q_SB_DFF_Q_24_D_SB_LUT4_O_I2_SB_LUT4_O_1_I2_SB_LUT4_O_I3_SB_CARRY_CO_CI_SB_CARRY_CO_I1[3] (5,17) -> (5,17) Info: Sink cpu.alu_out_q_SB_DFF_Q_27_D_SB_LUT4_O_I2_SB_LUT4_O_1_I1_SB_LUT4_O_I3_SB_CARRY_CI_CO_SB_LUT4_I3_LC.CIN Info: Defined in: Info: picorv32.v:1219.30-1219.47 Info: /gnu/store/5sp1931djvgr9zdcykwpridnzmrpw7rw-yosys-0.60/bin/../share/yosys/ice40/arith_map.v:62.5-70.4 Info: /gnu/store/5sp1931djvgr9zdcykwpridnzmrpw7rw-yosys-0.60/bin/../share/yosys/ice40/abc9_model.v:4.9-4.11 Info: logic 0.13 3.89 Source cpu.alu_out_q_SB_DFF_Q_27_D_SB_LUT4_O_I2_SB_LUT4_O_1_I1_SB_LUT4_O_I3_SB_CARRY_CI_CO_SB_LUT4_I3_LC.COUT Info: routing 0.00 3.89 Net cpu.alu_out_q_SB_DFF_Q_24_D_SB_LUT4_O_I2_SB_LUT4_O_1_I2_SB_LUT4_O_I3_SB_CARRY_CO_CI (5,17) -> (5,17) Info: Sink cpu.alu_out_q_SB_DFF_Q_24_D_SB_LUT4_O_I2_SB_LUT4_O_1_I2_SB_LUT4_O_I3_SB_CARRY_CO_I1_SB_LUT4_I2_LC.CIN Info: Defined in: Info: picorv32.v:1219.30-1219.47 Info: /gnu/store/5sp1931djvgr9zdcykwpridnzmrpw7rw-yosys-0.60/bin/../share/yosys/ice40/arith_map.v:62.5-70.4 Info: /gnu/store/5sp1931djvgr9zdcykwpridnzmrpw7rw-yosys-0.60/bin/../share/yosys/ice40/cells_map.v:6.21-6.22 Info: logic 0.13 4.02 Source cpu.alu_out_q_SB_DFF_Q_24_D_SB_LUT4_O_I2_SB_LUT4_O_1_I2_SB_LUT4_O_I3_SB_CARRY_CO_I1_SB_LUT4_I2_LC.COUT Info: routing 0.00 4.02 Net cpu.alu_out_q_SB_DFF_Q_24_D_SB_LUT4_O_I2_SB_LUT4_O_1_I2_SB_LUT4_O_I2[3] (5,17) -> (5,17) Info: Sink cpu.alu_out_q_SB_DFF_Q_24_D_SB_LUT4_O_I2_SB_LUT4_O_1_I2_SB_LUT4_O_LC.CIN Info: Defined in: Info: picorv32.v:1219.30-1219.47 Info: /gnu/store/5sp1931djvgr9zdcykwpridnzmrpw7rw-yosys-0.60/bin/../share/yosys/ice40/arith_map.v:62.5-70.4 Info: /gnu/store/5sp1931djvgr9zdcykwpridnzmrpw7rw-yosys-0.60/bin/../share/yosys/ice40/abc9_model.v:4.9-4.11 Info: logic 0.13 4.14 Source cpu.alu_out_q_SB_DFF_Q_24_D_SB_LUT4_O_I2_SB_LUT4_O_1_I2_SB_LUT4_O_LC.COUT Info: routing 0.20 4.34 Net cpu.alu_out_q_SB_DFF_Q_23_D_SB_LUT4_O_I2_SB_LUT4_O_1_I2_SB_LUT4_O_I2[3] (5,17) -> (5,18) Info: Sink cpu.alu_out_q_SB_DFF_Q_23_D_SB_LUT4_O_I2_SB_LUT4_O_1_I2_SB_LUT4_O_LC.CIN Info: Defined in: Info: picorv32.v:1219.30-1219.47 Info: /gnu/store/5sp1931djvgr9zdcykwpridnzmrpw7rw-yosys-0.60/bin/../share/yosys/ice40/arith_map.v:62.5-70.4 Info: /gnu/store/5sp1931djvgr9zdcykwpridnzmrpw7rw-yosys-0.60/bin/../share/yosys/ice40/abc9_model.v:4.9-4.11 Info: logic 0.13 4.47 Source cpu.alu_out_q_SB_DFF_Q_23_D_SB_LUT4_O_I2_SB_LUT4_O_1_I2_SB_LUT4_O_LC.COUT Info: routing 0.00 4.47 Net cpu.alu_out_q_SB_DFF_Q_22_D_SB_LUT4_O_I2_SB_LUT4_O_1_I2_SB_LUT4_O_I2[3] (5,18) -> (5,18) Info: Sink cpu.alu_out_q_SB_DFF_Q_22_D_SB_LUT4_O_I2_SB_LUT4_O_1_I2_SB_LUT4_O_LC.CIN Info: Defined in: Info: picorv32.v:1219.30-1219.47 Info: /gnu/store/5sp1931djvgr9zdcykwpridnzmrpw7rw-yosys-0.60/bin/../share/yosys/ice40/arith_map.v:62.5-70.4 Info: /gnu/store/5sp1931djvgr9zdcykwpridnzmrpw7rw-yosys-0.60/bin/../share/yosys/ice40/abc9_model.v:4.9-4.11 Info: logic 0.13 4.59 Source cpu.alu_out_q_SB_DFF_Q_22_D_SB_LUT4_O_I2_SB_LUT4_O_1_I2_SB_LUT4_O_LC.COUT Info: routing 0.00 4.59 Net cpu.alu_out_q_SB_DFF_Q_20_D_SB_LUT4_O_I2_SB_LUT4_O_1_I2_SB_LUT4_O_I3_SB_CARRY_CO_CI (5,18) -> (5,18) Info: Sink cpu.alu_out_q_SB_DFF_Q_20_D_SB_LUT4_O_I2_SB_LUT4_O_1_I2_SB_LUT4_O_I3_SB_CARRY_CO_I1_SB_LUT4_I2_LC.CIN Info: Defined in: Info: picorv32.v:1219.30-1219.47 Info: /gnu/store/5sp1931djvgr9zdcykwpridnzmrpw7rw-yosys-0.60/bin/../share/yosys/ice40/arith_map.v:62.5-70.4 Info: /gnu/store/5sp1931djvgr9zdcykwpridnzmrpw7rw-yosys-0.60/bin/../share/yosys/ice40/cells_map.v:6.21-6.22 Info: logic 0.13 4.72 Source cpu.alu_out_q_SB_DFF_Q_20_D_SB_LUT4_O_I2_SB_LUT4_O_1_I2_SB_LUT4_O_I3_SB_CARRY_CO_I1_SB_LUT4_I2_LC.COUT Info: routing 0.00 4.72 Net cpu.alu_out_q_SB_DFF_Q_20_D_SB_LUT4_O_I2_SB_LUT4_O_1_I2_SB_LUT4_O_I2[3] (5,18) -> (5,18) Info: Sink cpu.alu_out_q_SB_DFF_Q_20_D_SB_LUT4_O_I2_SB_LUT4_O_1_I2_SB_LUT4_O_LC.CIN Info: Defined in: Info: picorv32.v:1219.30-1219.47 Info: /gnu/store/5sp1931djvgr9zdcykwpridnzmrpw7rw-yosys-0.60/bin/../share/yosys/ice40/arith_map.v:62.5-70.4 Info: /gnu/store/5sp1931djvgr9zdcykwpridnzmrpw7rw-yosys-0.60/bin/../share/yosys/ice40/abc9_model.v:4.9-4.11 Info: logic 0.13 4.84 Source cpu.alu_out_q_SB_DFF_Q_20_D_SB_LUT4_O_I2_SB_LUT4_O_1_I2_SB_LUT4_O_LC.COUT Info: routing 0.00 4.84 Net cpu.alu_out_q_SB_DFF_Q_18_D_SB_LUT4_O_I2_SB_LUT4_O_1_I2_SB_LUT4_O_I3_SB_CARRY_CO_CI (5,18) -> (5,18) Info: Sink cpu.alu_out_q_SB_DFF_Q_18_D_SB_LUT4_O_I2_SB_LUT4_O_1_I2_SB_LUT4_O_I3_SB_CARRY_CO_I1_SB_LUT4_I2_LC.CIN Info: Defined in: Info: picorv32.v:1219.30-1219.47 Info: /gnu/store/5sp1931djvgr9zdcykwpridnzmrpw7rw-yosys-0.60/bin/../share/yosys/ice40/arith_map.v:62.5-70.4 Info: /gnu/store/5sp1931djvgr9zdcykwpridnzmrpw7rw-yosys-0.60/bin/../share/yosys/ice40/cells_map.v:6.21-6.22 Info: logic 0.13 4.97 Source cpu.alu_out_q_SB_DFF_Q_18_D_SB_LUT4_O_I2_SB_LUT4_O_1_I2_SB_LUT4_O_I3_SB_CARRY_CO_I1_SB_LUT4_I2_LC.COUT Info: routing 0.00 4.97 Net cpu.alu_out_q_SB_DFF_Q_18_D_SB_LUT4_O_I2_SB_LUT4_O_1_I2_SB_LUT4_O_I2[3] (5,18) -> (5,18) Info: Sink cpu.alu_out_q_SB_DFF_Q_18_D_SB_LUT4_O_I2_SB_LUT4_O_1_I2_SB_LUT4_O_LC.CIN Info: Defined in: Info: picorv32.v:1219.30-1219.47 Info: /gnu/store/5sp1931djvgr9zdcykwpridnzmrpw7rw-yosys-0.60/bin/../share/yosys/ice40/arith_map.v:62.5-70.4 Info: /gnu/store/5sp1931djvgr9zdcykwpridnzmrpw7rw-yosys-0.60/bin/../share/yosys/ice40/abc9_model.v:4.9-4.11 Info: logic 0.13 5.10 Source cpu.alu_out_q_SB_DFF_Q_18_D_SB_LUT4_O_I2_SB_LUT4_O_1_I2_SB_LUT4_O_LC.COUT Info: routing 0.00 5.10 Net cpu.alu_out_q_SB_DFF_Q_15_D_SB_LUT4_O_I2_SB_LUT4_O_1_I2_SB_LUT4_O_I3_SB_CARRY_CO_CI_SB_CARRY_CO_I1[3] (5,18) -> (5,18) Info: Sink cpu.alu_out_q_SB_DFF_Q_18_D_SB_LUT4_O_I2_SB_LUT4_O_1_I2_SB_LUT4_O_I3_SB_CARRY_CI_CO_SB_LUT4_I3_LC.CIN Info: Defined in: Info: picorv32.v:1219.30-1219.47 Info: /gnu/store/5sp1931djvgr9zdcykwpridnzmrpw7rw-yosys-0.60/bin/../share/yosys/ice40/arith_map.v:62.5-70.4 Info: /gnu/store/5sp1931djvgr9zdcykwpridnzmrpw7rw-yosys-0.60/bin/../share/yosys/ice40/abc9_model.v:4.9-4.11 Info: logic 0.13 5.22 Source cpu.alu_out_q_SB_DFF_Q_18_D_SB_LUT4_O_I2_SB_LUT4_O_1_I2_SB_LUT4_O_I3_SB_CARRY_CI_CO_SB_LUT4_I3_LC.COUT Info: routing 0.00 5.22 Net cpu.alu_out_q_SB_DFF_Q_15_D_SB_LUT4_O_I2_SB_LUT4_O_1_I2_SB_LUT4_O_I3_SB_CARRY_CO_CI (5,18) -> (5,18) Info: Sink cpu.alu_out_q_SB_DFF_Q_15_D_SB_LUT4_O_I2_SB_LUT4_O_1_I2_SB_LUT4_O_I3_SB_CARRY_CO_I1_SB_LUT4_I2_LC.CIN Info: Defined in: Info: picorv32.v:1219.30-1219.47 Info: /gnu/store/5sp1931djvgr9zdcykwpridnzmrpw7rw-yosys-0.60/bin/../share/yosys/ice40/arith_map.v:62.5-70.4 Info: /gnu/store/5sp1931djvgr9zdcykwpridnzmrpw7rw-yosys-0.60/bin/../share/yosys/ice40/cells_map.v:6.21-6.22 Info: logic 0.13 5.35 Source cpu.alu_out_q_SB_DFF_Q_15_D_SB_LUT4_O_I2_SB_LUT4_O_1_I2_SB_LUT4_O_I3_SB_CARRY_CO_I1_SB_LUT4_I2_LC.COUT Info: routing 0.20 5.55 Net cpu.alu_out_q_SB_DFF_Q_15_D_SB_LUT4_O_I2_SB_LUT4_O_1_I2_SB_LUT4_O_I2[3] (5,18) -> (5,19) Info: Sink cpu.alu_out_q_SB_DFF_Q_15_D_SB_LUT4_O_I2_SB_LUT4_O_1_I2_SB_LUT4_O_LC.CIN Info: Defined in: Info: picorv32.v:1219.30-1219.47 Info: /gnu/store/5sp1931djvgr9zdcykwpridnzmrpw7rw-yosys-0.60/bin/../share/yosys/ice40/arith_map.v:62.5-70.4 Info: /gnu/store/5sp1931djvgr9zdcykwpridnzmrpw7rw-yosys-0.60/bin/../share/yosys/ice40/abc9_model.v:4.9-4.11 Info: logic 0.13 5.67 Source cpu.alu_out_q_SB_DFF_Q_15_D_SB_LUT4_O_I2_SB_LUT4_O_1_I2_SB_LUT4_O_LC.COUT Info: routing 0.00 5.67 Net cpu.alu_out_q_SB_DFF_Q_14_D_SB_LUT4_O_I2_SB_LUT4_O_1_I2_SB_LUT4_O_I2[3] (5,19) -> (5,19) Info: Sink cpu.alu_out_q_SB_DFF_Q_14_D_SB_LUT4_O_I2_SB_LUT4_O_1_I2_SB_LUT4_O_LC.CIN Info: Defined in: Info: picorv32.v:1219.30-1219.47 Info: /gnu/store/5sp1931djvgr9zdcykwpridnzmrpw7rw-yosys-0.60/bin/../share/yosys/ice40/arith_map.v:62.5-70.4 Info: /gnu/store/5sp1931djvgr9zdcykwpridnzmrpw7rw-yosys-0.60/bin/../share/yosys/ice40/abc9_model.v:4.9-4.11 Info: logic 0.13 5.80 Source cpu.alu_out_q_SB_DFF_Q_14_D_SB_LUT4_O_I2_SB_LUT4_O_1_I2_SB_LUT4_O_LC.COUT Info: routing 0.00 5.80 Net cpu.alu_out_q_SB_DFF_Q_12_D_SB_LUT4_O_I2_SB_LUT4_O_1_I2_SB_LUT4_O_I3_SB_CARRY_CO_CI (5,19) -> (5,19) Info: Sink cpu.alu_out_q_SB_DFF_Q_12_D_SB_LUT4_O_I2_SB_LUT4_O_1_I2_SB_LUT4_O_I3_SB_CARRY_CO_I1_SB_LUT4_I2_LC.CIN Info: Defined in: Info: picorv32.v:1219.30-1219.47 Info: /gnu/store/5sp1931djvgr9zdcykwpridnzmrpw7rw-yosys-0.60/bin/../share/yosys/ice40/arith_map.v:62.5-70.4 Info: /gnu/store/5sp1931djvgr9zdcykwpridnzmrpw7rw-yosys-0.60/bin/../share/yosys/ice40/cells_map.v:6.21-6.22 Info: logic 0.13 5.92 Source cpu.alu_out_q_SB_DFF_Q_12_D_SB_LUT4_O_I2_SB_LUT4_O_1_I2_SB_LUT4_O_I3_SB_CARRY_CO_I1_SB_LUT4_I2_LC.COUT Info: routing 0.00 5.92 Net cpu.alu_out_q_SB_DFF_Q_12_D_SB_LUT4_O_I2_SB_LUT4_O_1_I2_SB_LUT4_O_I2[3] (5,19) -> (5,19) Info: Sink cpu.alu_out_q_SB_DFF_Q_12_D_SB_LUT4_O_I2_SB_LUT4_O_1_I2_SB_LUT4_O_LC.CIN Info: Defined in: Info: picorv32.v:1219.30-1219.47 Info: /gnu/store/5sp1931djvgr9zdcykwpridnzmrpw7rw-yosys-0.60/bin/../share/yosys/ice40/arith_map.v:62.5-70.4 Info: /gnu/store/5sp1931djvgr9zdcykwpridnzmrpw7rw-yosys-0.60/bin/../share/yosys/ice40/abc9_model.v:4.9-4.11 Info: logic 0.13 6.05 Source cpu.alu_out_q_SB_DFF_Q_12_D_SB_LUT4_O_I2_SB_LUT4_O_1_I2_SB_LUT4_O_LC.COUT Info: routing 0.00 6.05 Net cpu.alu_out_q_SB_DFF_Q_12_D_SB_LUT4_O_I2_SB_LUT4_O_1_I2_SB_LUT4_O_I3_SB_CARRY_CI_CO (5,19) -> (5,19) Info: Sink cpu.alu_out_q_SB_DFF_Q_12_D_SB_LUT4_O_I2_SB_LUT4_O_1_I2_SB_LUT4_O_I3_SB_CARRY_CI_CO_SB_LUT4_I3_LC.CIN Info: Defined in: Info: picorv32.v:1219.30-1219.47 Info: /gnu/store/5sp1931djvgr9zdcykwpridnzmrpw7rw-yosys-0.60/bin/../share/yosys/ice40/arith_map.v:62.5-70.4 Info: /gnu/store/5sp1931djvgr9zdcykwpridnzmrpw7rw-yosys-0.60/bin/../share/yosys/ice40/cells_map.v:6.21-6.22 Info: logic 0.13 6.18 Source cpu.alu_out_q_SB_DFF_Q_12_D_SB_LUT4_O_I2_SB_LUT4_O_1_I2_SB_LUT4_O_I3_SB_CARRY_CI_CO_SB_LUT4_I3_LC.COUT Info: routing 0.00 6.18 Net cpu.alu_out_q_SB_DFF_Q_8_D_SB_LUT4_O_I2_SB_LUT4_O_1_I2_SB_LUT4_O_I3_SB_CARRY_CO_CI_SB_CARRY_CO_CI (5,19) -> (5,19) Info: Sink cpu.alu_out_q_SB_DFF_Q_8_D_SB_LUT4_O_I2_SB_LUT4_O_1_I2_SB_LUT4_O_I3_SB_CARRY_CO_CI_SB_CARRY_CO_I1_SB_LUT4_I2_LC.CIN Info: Defined in: Info: picorv32.v:1219.30-1219.47 Info: /gnu/store/5sp1931djvgr9zdcykwpridnzmrpw7rw-yosys-0.60/bin/../share/yosys/ice40/arith_map.v:62.5-70.4 Info: /gnu/store/5sp1931djvgr9zdcykwpridnzmrpw7rw-yosys-0.60/bin/../share/yosys/ice40/cells_map.v:6.21-6.22 Info: logic 0.13 6.30 Source cpu.alu_out_q_SB_DFF_Q_8_D_SB_LUT4_O_I2_SB_LUT4_O_1_I2_SB_LUT4_O_I3_SB_CARRY_CO_CI_SB_CARRY_CO_I1_SB_LUT4_I2_LC.COUT Info: routing 0.00 6.30 Net cpu.alu_out_q_SB_DFF_Q_8_D_SB_LUT4_O_I2_SB_LUT4_O_1_I2_SB_LUT4_O_I3_SB_CARRY_CO_CI (5,19) -> (5,19) Info: Sink cpu.alu_out_q_SB_DFF_Q_8_D_SB_LUT4_O_I2_SB_LUT4_O_1_I2_SB_LUT4_O_I3_SB_CARRY_CO_I1_SB_LUT4_I2_LC.CIN Info: Defined in: Info: picorv32.v:1219.30-1219.47 Info: /gnu/store/5sp1931djvgr9zdcykwpridnzmrpw7rw-yosys-0.60/bin/../share/yosys/ice40/arith_map.v:62.5-70.4 Info: /gnu/store/5sp1931djvgr9zdcykwpridnzmrpw7rw-yosys-0.60/bin/../share/yosys/ice40/cells_map.v:6.21-6.22 Info: logic 0.13 6.43 Source cpu.alu_out_q_SB_DFF_Q_8_D_SB_LUT4_O_I2_SB_LUT4_O_1_I2_SB_LUT4_O_I3_SB_CARRY_CO_I1_SB_LUT4_I2_LC.COUT Info: routing 0.00 6.43 Net cpu.alu_out_q_SB_DFF_Q_8_D_SB_LUT4_O_I2_SB_LUT4_O_1_I2_SB_LUT4_O_I2[3] (5,19) -> (5,19) Info: Sink cpu.alu_out_q_SB_DFF_Q_8_D_SB_LUT4_O_I2_SB_LUT4_O_1_I2_SB_LUT4_O_LC.CIN Info: Defined in: Info: picorv32.v:1219.30-1219.47 Info: /gnu/store/5sp1931djvgr9zdcykwpridnzmrpw7rw-yosys-0.60/bin/../share/yosys/ice40/arith_map.v:62.5-70.4 Info: /gnu/store/5sp1931djvgr9zdcykwpridnzmrpw7rw-yosys-0.60/bin/../share/yosys/ice40/abc9_model.v:4.9-4.11 Info: logic 0.13 6.55 Source cpu.alu_out_q_SB_DFF_Q_8_D_SB_LUT4_O_I2_SB_LUT4_O_1_I2_SB_LUT4_O_LC.COUT Info: routing 0.20 6.75 Net cpu.alu_out_q_SB_DFF_Q_6_D_SB_LUT4_O_I2_SB_LUT4_O_1_I2_SB_LUT4_O_I3_SB_CARRY_CO_CI (5,19) -> (5,20) Info: Sink cpu.alu_out_q_SB_DFF_Q_6_D_SB_LUT4_O_I2_SB_LUT4_O_1_I2_SB_LUT4_O_I3_SB_CARRY_CO_I1_SB_LUT4_I2_LC.CIN Info: Defined in: Info: picorv32.v:1219.30-1219.47 Info: /gnu/store/5sp1931djvgr9zdcykwpridnzmrpw7rw-yosys-0.60/bin/../share/yosys/ice40/arith_map.v:62.5-70.4 Info: /gnu/store/5sp1931djvgr9zdcykwpridnzmrpw7rw-yosys-0.60/bin/../share/yosys/ice40/cells_map.v:6.21-6.22 Info: logic 0.13 6.88 Source cpu.alu_out_q_SB_DFF_Q_6_D_SB_LUT4_O_I2_SB_LUT4_O_1_I2_SB_LUT4_O_I3_SB_CARRY_CO_I1_SB_LUT4_I2_LC.COUT Info: routing 0.00 6.88 Net cpu.alu_out_q_SB_DFF_Q_6_D_SB_LUT4_O_I2_SB_LUT4_O_1_I2_SB_LUT4_O_I2[3] (5,20) -> (5,20) Info: Sink cpu.alu_out_q_SB_DFF_Q_6_D_SB_LUT4_O_I2_SB_LUT4_O_1_I2_SB_LUT4_O_LC.CIN Info: Defined in: Info: picorv32.v:1219.30-1219.47 Info: /gnu/store/5sp1931djvgr9zdcykwpridnzmrpw7rw-yosys-0.60/bin/../share/yosys/ice40/arith_map.v:62.5-70.4 Info: /gnu/store/5sp1931djvgr9zdcykwpridnzmrpw7rw-yosys-0.60/bin/../share/yosys/ice40/abc9_model.v:4.9-4.11 Info: logic 0.13 7.00 Source cpu.alu_out_q_SB_DFF_Q_6_D_SB_LUT4_O_I2_SB_LUT4_O_1_I2_SB_LUT4_O_LC.COUT Info: routing 0.00 7.00 Net cpu.alu_out_q_SB_DFF_Q_6_D_SB_LUT4_O_I2_SB_LUT4_O_1_I2_SB_LUT4_O_I3_SB_CARRY_CI_CO (5,20) -> (5,20) Info: Sink cpu.alu_out_q_SB_DFF_Q_6_D_SB_LUT4_O_I2_SB_LUT4_O_1_I2_SB_LUT4_O_I3_SB_CARRY_CI_CO_SB_LUT4_I3_LC.CIN Info: Defined in: Info: picorv32.v:1219.30-1219.47 Info: /gnu/store/5sp1931djvgr9zdcykwpridnzmrpw7rw-yosys-0.60/bin/../share/yosys/ice40/arith_map.v:62.5-70.4 Info: /gnu/store/5sp1931djvgr9zdcykwpridnzmrpw7rw-yosys-0.60/bin/../share/yosys/ice40/cells_map.v:6.21-6.22 Info: logic 0.13 7.13 Source cpu.alu_out_q_SB_DFF_Q_6_D_SB_LUT4_O_I2_SB_LUT4_O_1_I2_SB_LUT4_O_I3_SB_CARRY_CI_CO_SB_LUT4_I3_LC.COUT Info: routing 0.00 7.13 Net cpu.instr_bgeu_SB_LUT4_I1_O_SB_LUT4_O_I1_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI (5,20) -> (5,20) Info: Sink cpu.instr_bgeu_SB_LUT4_I1_O_SB_LUT4_O_I1_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_I1_SB_LUT4_I2_LC.CIN Info: Defined in: Info: picorv32.v:1219.30-1219.47 Info: /gnu/store/5sp1931djvgr9zdcykwpridnzmrpw7rw-yosys-0.60/bin/../share/yosys/ice40/arith_map.v:62.5-70.4 Info: /gnu/store/5sp1931djvgr9zdcykwpridnzmrpw7rw-yosys-0.60/bin/../share/yosys/ice40/cells_map.v:6.21-6.22 Info: logic 0.13 7.25 Source cpu.instr_bgeu_SB_LUT4_I1_O_SB_LUT4_O_I1_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_I1_SB_LUT4_I2_LC.COUT Info: routing 0.00 7.25 Net cpu.instr_bgeu_SB_LUT4_I1_O_SB_LUT4_O_I1_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI (5,20) -> (5,20) Info: Sink cpu.instr_bgeu_SB_LUT4_I1_O_SB_LUT4_O_I1_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_I1_SB_LUT4_I2_LC.CIN Info: Defined in: Info: picorv32.v:1219.30-1219.47 Info: /gnu/store/5sp1931djvgr9zdcykwpridnzmrpw7rw-yosys-0.60/bin/../share/yosys/ice40/arith_map.v:62.5-70.4 Info: /gnu/store/5sp1931djvgr9zdcykwpridnzmrpw7rw-yosys-0.60/bin/../share/yosys/ice40/cells_map.v:6.21-6.22 Info: logic 0.13 7.38 Source cpu.instr_bgeu_SB_LUT4_I1_O_SB_LUT4_O_I1_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_I1_SB_LUT4_I2_LC.COUT Info: routing 0.00 7.38 Net cpu.instr_bgeu_SB_LUT4_I1_O_SB_LUT4_O_I1_SB_CARRY_CO_CI_SB_CARRY_CO_CI (5,20) -> (5,20) Info: Sink cpu.instr_bgeu_SB_LUT4_I1_O_SB_LUT4_O_I1_SB_CARRY_CO_CI_SB_CARRY_CO_I1_SB_LUT4_I2_LC.CIN Info: Defined in: Info: picorv32.v:1219.30-1219.47 Info: /gnu/store/5sp1931djvgr9zdcykwpridnzmrpw7rw-yosys-0.60/bin/../share/yosys/ice40/arith_map.v:62.5-70.4 Info: /gnu/store/5sp1931djvgr9zdcykwpridnzmrpw7rw-yosys-0.60/bin/../share/yosys/ice40/cells_map.v:6.21-6.22 Info: logic 0.13 7.51 Source cpu.instr_bgeu_SB_LUT4_I1_O_SB_LUT4_O_I1_SB_CARRY_CO_CI_SB_CARRY_CO_I1_SB_LUT4_I2_LC.COUT Info: routing 0.00 7.51 Net cpu.instr_bgeu_SB_LUT4_I1_O_SB_LUT4_O_I1_SB_CARRY_CO_CI (5,20) -> (5,20) Info: Sink cpu.alu_out_q_SB_DFF_Q_1_D_SB_LUT4_O_I2_SB_LUT4_O_1_I2_SB_LUT4_O_LC.CIN Info: Defined in: Info: picorv32.v:1219.30-1219.47 Info: /gnu/store/5sp1931djvgr9zdcykwpridnzmrpw7rw-yosys-0.60/bin/../share/yosys/ice40/arith_map.v:62.5-70.4 Info: /gnu/store/5sp1931djvgr9zdcykwpridnzmrpw7rw-yosys-0.60/bin/../share/yosys/ice40/cells_map.v:6.21-6.22 Info: logic 0.13 7.63 Source cpu.alu_out_q_SB_DFF_Q_1_D_SB_LUT4_O_I2_SB_LUT4_O_1_I2_SB_LUT4_O_LC.COUT Info: routing 0.26 7.89 Net $nextpnr_ICESTORM_LC_6$I3 (5,20) -> (5,20) Info: Sink $nextpnr_ICESTORM_LC_6.I3 Info: logic 0.31 8.20 Source $nextpnr_ICESTORM_LC_6.O Info: routing 0.59 8.79 Net cpu.instr_bgeu_SB_LUT4_I1_I3_SB_CARRY_CO_I1[3] (5,20) -> (5,21) Info: Sink cpu.instr_bgeu_SB_LUT4_I1_O_SB_LUT4_O_LC.I1 Info: Defined in: Info: /gnu/store/5sp1931djvgr9zdcykwpridnzmrpw7rw-yosys-0.60/bin/../share/yosys/ice40/cells_map.v:6.21-6.22 Info: logic 0.40 9.19 Source cpu.instr_bgeu_SB_LUT4_I1_O_SB_LUT4_O_LC.O Info: routing 0.59 9.78 Net cpu.instr_bgeu_SB_LUT4_I1_O[3] (5,21) -> (6,21) Info: Sink cpu.is_slti_blt_slt_SB_LUT4_I0_LC.I3 Info: Defined in: Info: /gnu/store/5sp1931djvgr9zdcykwpridnzmrpw7rw-yosys-0.60/bin/../share/yosys/ice40/cells_map.v:6.21-6.22 Info: logic 0.31 10.10 Source cpu.is_slti_blt_slt_SB_LUT4_I0_LC.O Info: routing 1.53 11.63 Net cpu.is_compare_SB_LUT4_I0_I1[3] (6,21) -> (15,21) Info: Sink cpu.is_slti_blt_slt_SB_LUT4_I0_O_SB_LUT4_I3_LC.I3 Info: Defined in: Info: /gnu/store/5sp1931djvgr9zdcykwpridnzmrpw7rw-yosys-0.60/bin/../share/yosys/ice40/cells_map.v:6.21-6.22 Info: logic 0.31 11.94 Source cpu.is_slti_blt_slt_SB_LUT4_I0_O_SB_LUT4_I3_LC.O Info: routing 0.59 12.53 Net cpu.is_slti_blt_slt_SB_LUT4_I0_O_SB_LUT4_I3_O[2] (15,21) -> (16,22) Info: Sink cpu.is_sll_srl_sra_SB_LUT4_I1_1_O_SB_LUT4_O_LC.I3 Info: Defined in: Info: /gnu/store/5sp1931djvgr9zdcykwpridnzmrpw7rw-yosys-0.60/bin/../share/yosys/ice40/cells_map.v:6.21-6.22 Info: logic 0.31 12.85 Source cpu.is_sll_srl_sra_SB_LUT4_I1_1_O_SB_LUT4_O_LC.O Info: routing 0.59 13.43 Net cpu.is_sll_srl_sra_SB_LUT4_I1_1_O[2] (16,22) -> (17,23) Info: Sink cpu.mem_do_rinst_SB_DFFESS_Q_E_SB_LUT4_O_LC.I3 Info: Defined in: Info: /gnu/store/5sp1931djvgr9zdcykwpridnzmrpw7rw-yosys-0.60/bin/../share/yosys/ice40/cells_map.v:6.21-6.22 Info: logic 0.31 13.75 Source cpu.mem_do_rinst_SB_DFFESS_Q_E_SB_LUT4_O_LC.O Info: routing 0.93 14.68 Net cpu.mem_do_rinst_SB_DFFESS_Q_E (17,23) -> (17,23) Info: Sink cpu.mem_do_rinst_SB_DFFESS_Q_D_SB_LUT4_O_LC.CEN Info: setup 0.10 14.78 Source cpu.mem_do_rinst_SB_DFFESS_Q_D_SB_LUT4_O_LC.CEN Info: 6.94 ns logic, 7.84 ns routing Info: Critical path report for cross-domain path 'posedge clk$SB_IO_IN_$glb_clk' -> '': Info: type curr total name Info: clk-to-q 0.54 0.54 Source led_SB_DFFE_Q_7_DFFLC.O Info: routing 1.70 2.24 Net led[0]$SB_IO_OUT (2,25) -> (0,31) Info: Sink led[0]$sb_io.D_OUT_0 Info: Defined in: Info: attosoc.v:29.19-29.22 Info: 0.54 ns logic, 1.70 ns routing Info: Max frequency for clock 'clk$SB_IO_IN_$glb_clk': 67.65 MHz (PASS at 50.00 MHz) Info: Max delay posedge clk$SB_IO_IN_$glb_clk -> : 2.24 ns Info: Slack histogram: Info: legend: * represents 4 endpoint(s) Info: + represents [1,4) endpoint(s) Info: [ 5219, 5885) |**+ Info: [ 5885, 6551) |*****+ Info: [ 6551, 7217) |+ Info: [ 7217, 7883) |*+ Info: [ 7883, 8549) |****+ Info: [ 8549, 9215) |**********+ Info: [ 9215, 9881) |*******+ Info: [ 9881, 10547) |*******+ Info: [ 10547, 11213) |**********+ Info: [ 11213, 11879) |*********+ Info: [ 11879, 12545) |**********************************+ Info: [ 12545, 13211) |*****************************+ Info: [ 13211, 13877) |****************************+ Info: [ 13877, 14543) |********************************************+ Info: [ 14543, 15209) |************************************************************ Info: [ 15209, 15875) |******************+ Info: [ 15875, 16541) |**********************+ Info: [ 16541, 17207) |************************+ Info: [ 17207, 17873) |*******************************************+ Info: [ 17873, 18539) |**********************+ 1 warning, 0 errors Info: Program finished normally. + icetime -tmd hx8k -c 50 attosoc.asc // Reading input .asc file.. // Reading 8k chipdb file.. // Creating timing netlist.. icetime topological timing analysis report ========================================== Info: max_span_hack is enabled: estimate is conservative. Report for critical path: ------------------------- lc40_12_15_0 (LogicCell40) [clk] -> lcout: 0.640 ns 0.640 ns net_46004 (cpu.alu_out_q_SB_DFF_Q_27_D_SB_LUT4_O_I2_SB_LUT4_O_1_I1_SB_LUT4_O_1_I3_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_LUT4_I3_O[0]) odrv_12_15_46004_49987 (Odrv4) I -> O: 0.372 ns t4185 (Span4Mux_h4) I -> O: 0.316 ns t4184 (Span4Mux_h4) I -> O: 0.316 ns t4183 (LocalMux) I -> O: 0.330 ns inmux_6_16_26465_26515 (InMux) I -> O: 0.260 ns t411 (CascadeMux) I -> O: 0.000 ns lc40_6_16_4 (LogicCell40) in2 -> lcout: 0.379 ns 2.611 ns net_22342 (cpu.alu_out_q_SB_DFF_Q_27_D_SB_LUT4_O_I2_SB_LUT4_O_1_I1_SB_LUT4_O_I3_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_I1[2]) t2567 (LocalMux) I -> O: 0.330 ns inmux_5_17_22514_22536 (InMux) I -> O: 0.260 ns lc40_5_17_0 (LogicCell40) in1 -> carryout: 0.260 ns 3.459 ns t250 lc40_5_17_1 (LogicCell40) carryin -> carryout: 0.126 ns 3.586 ns net_22540 (cpu.alu_out_q_SB_DFF_Q_27_D_SB_LUT4_O_I2_SB_LUT4_O_1_I1_SB_LUT4_O_I3_SB_CARRY_CO_CI_SB_CARRY_CO_CI) lc40_5_17_2 (LogicCell40) carryin -> carryout: 0.126 ns 3.712 ns net_22546 (cpu.alu_out_q_SB_DFF_Q_27_D_SB_LUT4_O_I2_SB_LUT4_O_1_I1_SB_LUT4_O_I3_SB_CARRY_CO_CI) lc40_5_17_3 (LogicCell40) carryin -> carryout: 0.126 ns 3.838 ns net_22552 (cpu.alu_out_q_SB_DFF_Q_27_D_SB_LUT4_O_I2_SB_LUT4_O_1_I1_SB_LUT4_O_I2[3]) lc40_5_17_4 (LogicCell40) carryin -> carryout: 0.126 ns 3.964 ns net_22558 (cpu.alu_out_q_SB_DFF_Q_24_D_SB_LUT4_O_I2_SB_LUT4_O_1_I2_SB_LUT4_O_I3_SB_CARRY_CO_CI_SB_CARRY_CO_I1[3]) lc40_5_17_5 (LogicCell40) carryin -> carryout: 0.126 ns 4.091 ns net_22564 (cpu.alu_out_q_SB_DFF_Q_24_D_SB_LUT4_O_I2_SB_LUT4_O_1_I2_SB_LUT4_O_I3_SB_CARRY_CO_CI) lc40_5_17_6 (LogicCell40) carryin -> carryout: 0.126 ns 4.217 ns net_22570 (cpu.alu_out_q_SB_DFF_Q_24_D_SB_LUT4_O_I2_SB_LUT4_O_1_I2_SB_LUT4_O_I2[3]) lc40_5_17_7 (LogicCell40) carryin -> carryout: 0.126 ns 4.343 ns net_22576 (cpu.alu_out_q_SB_DFF_Q_23_D_SB_LUT4_O_I2_SB_LUT4_O_1_I2_SB_LUT4_O_I2[3]) t259 (ICE_CARRY_IN_MUX) carryinitin -> carryinitout: 0.196 ns lc40_5_18_0 (LogicCell40) carryin -> carryout: 0.126 ns 4.666 ns net_22657 (cpu.alu_out_q_SB_DFF_Q_22_D_SB_LUT4_O_I2_SB_LUT4_O_1_I2_SB_LUT4_O_I2[3]) lc40_5_18_1 (LogicCell40) carryin -> carryout: 0.126 ns 4.792 ns net_22663 (cpu.alu_out_q_SB_DFF_Q_20_D_SB_LUT4_O_I2_SB_LUT4_O_1_I2_SB_LUT4_O_I3_SB_CARRY_CO_CI) lc40_5_18_2 (LogicCell40) carryin -> carryout: 0.126 ns 4.918 ns net_22669 (cpu.alu_out_q_SB_DFF_Q_20_D_SB_LUT4_O_I2_SB_LUT4_O_1_I2_SB_LUT4_O_I2[3]) lc40_5_18_3 (LogicCell40) carryin -> carryout: 0.126 ns 5.044 ns net_22675 (cpu.alu_out_q_SB_DFF_Q_18_D_SB_LUT4_O_I2_SB_LUT4_O_1_I2_SB_LUT4_O_I3_SB_CARRY_CO_CI) lc40_5_18_4 (LogicCell40) carryin -> carryout: 0.126 ns 5.171 ns net_22681 (cpu.alu_out_q_SB_DFF_Q_18_D_SB_LUT4_O_I2_SB_LUT4_O_1_I2_SB_LUT4_O_I2[3]) lc40_5_18_5 (LogicCell40) carryin -> carryout: 0.126 ns 5.297 ns net_22687 (cpu.alu_out_q_SB_DFF_Q_15_D_SB_LUT4_O_I2_SB_LUT4_O_1_I2_SB_LUT4_O_I3_SB_CARRY_CO_CI_SB_CARRY_CO_I1[3]) lc40_5_18_6 (LogicCell40) carryin -> carryout: 0.126 ns 5.423 ns net_22693 (cpu.alu_out_q_SB_DFF_Q_15_D_SB_LUT4_O_I2_SB_LUT4_O_1_I2_SB_LUT4_O_I3_SB_CARRY_CO_CI) lc40_5_18_7 (LogicCell40) carryin -> carryout: 0.126 ns 5.549 ns net_22699 (cpu.alu_out_q_SB_DFF_Q_15_D_SB_LUT4_O_I2_SB_LUT4_O_1_I2_SB_LUT4_O_I2[3]) t268 (ICE_CARRY_IN_MUX) carryinitin -> carryinitout: 0.196 ns lc40_5_19_0 (LogicCell40) carryin -> carryout: 0.126 ns 5.872 ns net_22780 (cpu.alu_out_q_SB_DFF_Q_14_D_SB_LUT4_O_I2_SB_LUT4_O_1_I2_SB_LUT4_O_I2[3]) lc40_5_19_1 (LogicCell40) carryin -> carryout: 0.126 ns 5.998 ns net_22786 (cpu.alu_out_q_SB_DFF_Q_12_D_SB_LUT4_O_I2_SB_LUT4_O_1_I2_SB_LUT4_O_I3_SB_CARRY_CO_CI) lc40_5_19_2 (LogicCell40) carryin -> carryout: 0.126 ns 6.125 ns net_22792 (cpu.alu_out_q_SB_DFF_Q_12_D_SB_LUT4_O_I2_SB_LUT4_O_1_I2_SB_LUT4_O_I2[3]) lc40_5_19_3 (LogicCell40) carryin -> carryout: 0.126 ns 6.251 ns net_22798 (cpu.alu_out_q_SB_DFF_Q_12_D_SB_LUT4_O_I2_SB_LUT4_O_1_I2_SB_LUT4_O_I3_SB_CARRY_CI_CO) lc40_5_19_4 (LogicCell40) carryin -> carryout: 0.126 ns 6.377 ns net_22804 (cpu.alu_out_q_SB_DFF_Q_8_D_SB_LUT4_O_I2_SB_LUT4_O_1_I2_SB_LUT4_O_I3_SB_CARRY_CO_CI_SB_CARRY_CO_CI) lc40_5_19_5 (LogicCell40) carryin -> carryout: 0.126 ns 6.503 ns net_22810 (cpu.alu_out_q_SB_DFF_Q_8_D_SB_LUT4_O_I2_SB_LUT4_O_1_I2_SB_LUT4_O_I3_SB_CARRY_CO_CI) lc40_5_19_6 (LogicCell40) carryin -> carryout: 0.126 ns 6.630 ns net_22816 (cpu.alu_out_q_SB_DFF_Q_8_D_SB_LUT4_O_I2_SB_LUT4_O_1_I2_SB_LUT4_O_I2[3]) lc40_5_19_7 (LogicCell40) carryin -> carryout: 0.126 ns 6.756 ns net_22822 (cpu.alu_out_q_SB_DFF_Q_6_D_SB_LUT4_O_I2_SB_LUT4_O_1_I2_SB_LUT4_O_I3_SB_CARRY_CO_CI) t276 (ICE_CARRY_IN_MUX) carryinitin -> carryinitout: 0.196 ns lc40_5_20_0 (LogicCell40) carryin -> carryout: 0.126 ns 7.078 ns net_22903 (cpu.alu_out_q_SB_DFF_Q_6_D_SB_LUT4_O_I2_SB_LUT4_O_1_I2_SB_LUT4_O_I2[3]) lc40_5_20_1 (LogicCell40) carryin -> carryout: 0.126 ns 7.205 ns net_22909 (cpu.alu_out_q_SB_DFF_Q_6_D_SB_LUT4_O_I2_SB_LUT4_O_1_I2_SB_LUT4_O_I3_SB_CARRY_CI_CO) lc40_5_20_2 (LogicCell40) carryin -> carryout: 0.126 ns 7.331 ns net_22915 (cpu.instr_bgeu_SB_LUT4_I1_O_SB_LUT4_O_I1_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI) lc40_5_20_3 (LogicCell40) carryin -> carryout: 0.126 ns 7.457 ns net_22921 (cpu.instr_bgeu_SB_LUT4_I1_O_SB_LUT4_O_I1_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI) lc40_5_20_4 (LogicCell40) carryin -> carryout: 0.126 ns 7.583 ns net_22927 (cpu.instr_bgeu_SB_LUT4_I1_O_SB_LUT4_O_I1_SB_CARRY_CO_CI_SB_CARRY_CO_CI) lc40_5_20_5 (LogicCell40) carryin -> carryout: 0.126 ns 7.710 ns net_22933 (cpu.instr_bgeu_SB_LUT4_I1_O_SB_LUT4_O_I1_SB_CARRY_CO_CI) lc40_5_20_6 (LogicCell40) carryin -> carryout: 0.126 ns 7.836 ns net_22939 ($nextpnr_ICESTORM_LC_6$I3) lc40_5_20_7 (LogicCell40) carryin -> carryout: 0.126 ns 7.962 ns net_22945 ($nextpnr_ICESTORM_LC_6$COUT) t285 (ICE_CARRY_IN_MUX) carryinitin -> carryinitout: 0.196 ns lc40_5_21_0 (LogicCell40) carryin -> carryout: 0.126 ns 8.285 ns net_23026 ($nextpnr_ICESTORM_LC_7$I3) inmux_5_21_23026_23036 (InMux) I -> O: 0.260 ns lc40_5_21_1 (LogicCell40) in3 -> lcout: 0.316 ns 8.860 ns net_18877 (cpu.instr_bgeu_SB_LUT4_I1_I3) t2409 (LocalMux) I -> O: 0.330 ns inmux_5_21_22995_23059 (InMux) I -> O: 0.260 ns t372 (CascadeMux) I -> O: 0.000 ns lc40_5_21_5 (LogicCell40) in2 -> lcout: 0.379 ns 9.828 ns net_18881 (cpu.instr_bgeu_SB_LUT4_I1_O[3]) t2413 (LocalMux) I -> O: 0.330 ns inmux_6_21_27084_27137 (InMux) I -> O: 0.260 ns lc40_6_21_5 (LogicCell40) in3 -> lcout: 0.316 ns 10.732 ns net_22958 (cpu.is_compare_SB_LUT4_I0_I1[3]) odrv_6_21_22958_27167 (Odrv4) I -> O: 0.372 ns t2621 (Span4Mux_h4) I -> O: 0.316 ns t2625 (Span4Mux_h4) I -> O: 0.316 ns t2624 (LocalMux) I -> O: 0.330 ns inmux_15_21_63102_63167 (InMux) I -> O: 0.260 ns lc40_15_21_7 (LogicCell40) in1 -> lcout: 0.400 ns 12.724 ns net_58980 (cpu.is_slti_blt_slt_SB_LUT4_I0_O_SB_LUT4_I3_O[2]) t5575 (LocalMux) I -> O: 0.330 ns inmux_16_22_67313_67350 (InMux) I -> O: 0.260 ns lc40_16_22_4 (LogicCell40) in3 -> lcout: 0.316 ns 13.629 ns net_63177 (cpu.is_sll_srl_sra_SB_LUT4_I1_1_O[2]) t6041 (LocalMux) I -> O: 0.330 ns inmux_17_23_71510_71544 (InMux) I -> O: 0.260 ns lc40_17_23_3 (LogicCell40) in3 -> lcout: 0.316 ns 14.534 ns net_67375 (cpu.mem_do_rinst_SB_DFFESS_Q_E) t6623 (LocalMux) I -> O: 0.330 ns inmux_17_23_71517_71569 (CEMux) I -> O: 0.603 ns 15.466 ns net_71569 (cpu.mem_do_rinst_SB_DFFESS_Q_E) lc40_17_23_0 (LogicCell40) ce [setup]: 0.000 ns 15.466 ns net_67372 (cpu.is_sll_srl_sra_SB_LUT4_I1_O_SB_LUT4_O_I2[0]) Resolvable net names on path: 0.640 ns .. 2.232 ns cpu.alu_out_q_SB_DFF_Q_27_D_SB_LUT4_O_I2_SB_LUT4_O_1_I1_SB_LUT4_O_1_I3_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_LUT4_I3_O[0] 2.611 ns .. 3.200 ns cpu.alu_out_q_SB_DFF_Q_27_D_SB_LUT4_O_I2_SB_LUT4_O_1_I1_SB_LUT4_O_I3_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_I1[2] 3.586 ns .. 3.586 ns cpu.alu_out_q_SB_DFF_Q_27_D_SB_LUT4_O_I2_SB_LUT4_O_1_I1_SB_LUT4_O_I3_SB_CARRY_CO_CI_SB_CARRY_CO_CI 3.712 ns .. 3.712 ns cpu.alu_out_q_SB_DFF_Q_27_D_SB_LUT4_O_I2_SB_LUT4_O_1_I1_SB_LUT4_O_I3_SB_CARRY_CO_CI 3.838 ns .. 3.838 ns cpu.alu_out_q_SB_DFF_Q_27_D_SB_LUT4_O_I2_SB_LUT4_O_1_I1_SB_LUT4_O_I2[3] 3.964 ns .. 3.964 ns cpu.alu_out_q_SB_DFF_Q_24_D_SB_LUT4_O_I2_SB_LUT4_O_1_I2_SB_LUT4_O_I3_SB_CARRY_CO_CI_SB_CARRY_CO_I1[3] 4.091 ns .. 4.091 ns cpu.alu_out_q_SB_DFF_Q_24_D_SB_LUT4_O_I2_SB_LUT4_O_1_I2_SB_LUT4_O_I3_SB_CARRY_CO_CI 4.217 ns .. 4.217 ns cpu.alu_out_q_SB_DFF_Q_24_D_SB_LUT4_O_I2_SB_LUT4_O_1_I2_SB_LUT4_O_I2[3] 4.343 ns .. 4.540 ns cpu.alu_out_q_SB_DFF_Q_23_D_SB_LUT4_O_I2_SB_LUT4_O_1_I2_SB_LUT4_O_I2[3] 4.666 ns .. 4.666 ns cpu.alu_out_q_SB_DFF_Q_22_D_SB_LUT4_O_I2_SB_LUT4_O_1_I2_SB_LUT4_O_I2[3] 4.792 ns .. 4.792 ns cpu.alu_out_q_SB_DFF_Q_20_D_SB_LUT4_O_I2_SB_LUT4_O_1_I2_SB_LUT4_O_I3_SB_CARRY_CO_CI 4.918 ns .. 4.918 ns cpu.alu_out_q_SB_DFF_Q_20_D_SB_LUT4_O_I2_SB_LUT4_O_1_I2_SB_LUT4_O_I2[3] 5.044 ns .. 5.044 ns cpu.alu_out_q_SB_DFF_Q_18_D_SB_LUT4_O_I2_SB_LUT4_O_1_I2_SB_LUT4_O_I3_SB_CARRY_CO_CI 5.171 ns .. 5.171 ns cpu.alu_out_q_SB_DFF_Q_18_D_SB_LUT4_O_I2_SB_LUT4_O_1_I2_SB_LUT4_O_I2[3] 5.297 ns .. 5.297 ns cpu.alu_out_q_SB_DFF_Q_15_D_SB_LUT4_O_I2_SB_LUT4_O_1_I2_SB_LUT4_O_I3_SB_CARRY_CO_CI_SB_CARRY_CO_I1[3] 5.423 ns .. 5.423 ns cpu.alu_out_q_SB_DFF_Q_15_D_SB_LUT4_O_I2_SB_LUT4_O_1_I2_SB_LUT4_O_I3_SB_CARRY_CO_CI 5.549 ns .. 5.746 ns cpu.alu_out_q_SB_DFF_Q_15_D_SB_LUT4_O_I2_SB_LUT4_O_1_I2_SB_LUT4_O_I2[3] 5.872 ns .. 5.872 ns cpu.alu_out_q_SB_DFF_Q_14_D_SB_LUT4_O_I2_SB_LUT4_O_1_I2_SB_LUT4_O_I2[3] 5.998 ns .. 5.998 ns cpu.alu_out_q_SB_DFF_Q_12_D_SB_LUT4_O_I2_SB_LUT4_O_1_I2_SB_LUT4_O_I3_SB_CARRY_CO_CI 6.125 ns .. 6.125 ns cpu.alu_out_q_SB_DFF_Q_12_D_SB_LUT4_O_I2_SB_LUT4_O_1_I2_SB_LUT4_O_I2[3] 6.251 ns .. 6.251 ns cpu.alu_out_q_SB_DFF_Q_12_D_SB_LUT4_O_I2_SB_LUT4_O_1_I2_SB_LUT4_O_I3_SB_CARRY_CI_CO 6.377 ns .. 6.377 ns cpu.alu_out_q_SB_DFF_Q_8_D_SB_LUT4_O_I2_SB_LUT4_O_1_I2_SB_LUT4_O_I3_SB_CARRY_CO_CI_SB_CARRY_CO_CI 6.503 ns .. 6.503 ns cpu.alu_out_q_SB_DFF_Q_8_D_SB_LUT4_O_I2_SB_LUT4_O_1_I2_SB_LUT4_O_I3_SB_CARRY_CO_CI 6.630 ns .. 6.630 ns cpu.alu_out_q_SB_DFF_Q_8_D_SB_LUT4_O_I2_SB_LUT4_O_1_I2_SB_LUT4_O_I2[3] 6.756 ns .. 6.952 ns cpu.alu_out_q_SB_DFF_Q_6_D_SB_LUT4_O_I2_SB_LUT4_O_1_I2_SB_LUT4_O_I3_SB_CARRY_CO_CI 7.078 ns .. 7.078 ns cpu.alu_out_q_SB_DFF_Q_6_D_SB_LUT4_O_I2_SB_LUT4_O_1_I2_SB_LUT4_O_I2[3] 7.205 ns .. 7.205 ns cpu.alu_out_q_SB_DFF_Q_6_D_SB_LUT4_O_I2_SB_LUT4_O_1_I2_SB_LUT4_O_I3_SB_CARRY_CI_CO 7.331 ns .. 7.331 ns cpu.instr_bgeu_SB_LUT4_I1_O_SB_LUT4_O_I1_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI 7.457 ns .. 7.457 ns cpu.instr_bgeu_SB_LUT4_I1_O_SB_LUT4_O_I1_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI 7.583 ns .. 7.583 ns cpu.instr_bgeu_SB_LUT4_I1_O_SB_LUT4_O_I1_SB_CARRY_CO_CI_SB_CARRY_CO_CI 7.710 ns .. 7.710 ns cpu.instr_bgeu_SB_LUT4_I1_O_SB_LUT4_O_I1_SB_CARRY_CO_CI 7.836 ns .. 7.836 ns $nextpnr_ICESTORM_LC_6$I3 7.962 ns .. 8.158 ns $nextpnr_ICESTORM_LC_6$COUT 8.285 ns .. 8.544 ns $nextpnr_ICESTORM_LC_7$I3 8.860 ns .. 9.449 ns cpu.instr_bgeu_SB_LUT4_I1_I3 9.828 ns .. 10.417 ns cpu.instr_bgeu_SB_LUT4_I1_O[3] 10.732 ns .. 12.324 ns cpu.is_compare_SB_LUT4_I0_I1[3] 12.724 ns .. 13.313 ns cpu.is_slti_blt_slt_SB_LUT4_I0_O_SB_LUT4_I3_O[2] 13.629 ns .. 14.218 ns cpu.is_sll_srl_sra_SB_LUT4_I1_1_O[2] 14.534 ns .. 15.466 ns cpu.mem_do_rinst_SB_DFFESS_Q_E lcout -> cpu.is_sll_srl_sra_SB_LUT4_I1_O_SB_LUT4_O_I2[0] Total number of logic levels: 41 Total path delay: 15.47 ns (64.66 MHz) // Checking 20.00 ns (50.00 MHz) clock constraint: PASSED. + icebox_vlog -L -l -p attosoc.pcf -c -n attosoc attosoc.asc ++ yosys-config --datdir/ice40/cells_sim.v + iverilog -DNO_ICE40_DEFAULT_ASSIGNMENTS -o attosoc_pnr_tb attosoc_pnr.v attosoc_tb.v /gnu/store/5sp1931djvgr9zdcykwpridnzmrpw7rw-yosys-0.60/share/yosys/ice40/cells_sim.v + vvp attosoc_pnr_tb VCD info: dumpfile testbench.vcd opened for output. 00000000 00000010 00000011 +256 cycles 00000101 +256 cycles 00000111 +256 cycles +256 cycles +256 cycles 00001011 +256 cycles +256 cycles +256 cycles 00001101 +256 cycles +256 cycles +256 cycles +256 cycles +256 cycles 00010001 +256 cycles +256 cycles +256 cycles +256 cycles 00010011 +256 cycles +256 cycles +256 cycles +256 cycles +256 cycles +256 cycles 00010111 +256 cycles +256 cycles +256 cycles +256 cycles +256 cycles +256 cycles +256 cycles +256 cycles +256 cycles +256 cycles +256 cycles 00011101 +256 cycles +256 cycles +256 cycles +256 cycles +256 cycles +256 cycles +256 cycles 00011111 +256 cycles +256 cycles +256 cycles +256 cycles +256 cycles +256 cycles +256 cycles +256 cycles +256 cycles +256 cycles +256 cycles +256 cycles +256 cycles 00100101 +256 cycles +256 cycles +256 cycles +256 cycles +256 cycles +256 cycles +256 cycles +256 cycles +256 cycles +256 cycles +256 cycles +256 cycles 00101001 +256 cycles +256 cycles +256 cycles +256 cycles +256 cycles +256 cycles +256 cycles +256 cycles +256 cycles +256 cycles +256 cycles 00101011 +256 cycles +256 cycles +256 cycles +256 cycles +256 cycles +256 cycles +256 cycles +256 cycles +256 cycles +256 cycles +256 cycles +256 cycles +256 cycles +256 cycles 00101111 +256 cycles +256 cycles +256 cycles +256 cycles +256 cycles +256 cycles +256 cycles +256 cycles +256 cycles attosoc_tb.v:18: $finish called at 256100000000000000 (1ps) + diff output.txt golden.txt gzip -fdk issue0065/wtf.json.gz /gnu/store/0ic4fw2cga4kfqqgvjijjzgjc3skdb13-nextpnr-ice40-0.9-0.ad76625/bin/nextpnr-ice40 --json issue0065/wtf.json --asc issue0065/wtf.asc --hx1k > /dev/null 2>&1 || test -f issue0065/WAIVE if [ ! -f issue0065/WAIVE ]; then \ icebox_vlog issue0065/wtf.asc > issue0065/wtf_postpnr.v; \ else \ touch issue0065/wtf_postpnr.v; \ fi gzip -fdk issue0069/top.json.gz /gnu/store/0ic4fw2cga4kfqqgvjijjzgjc3skdb13-nextpnr-ice40-0.9-0.ad76625/bin/nextpnr-ice40 --json issue0069/top.json --asc issue0069/top.asc --pcf issue0069/top.pcf --lp8k --package cm81 --freq 16.0 --ignore-loops > /dev/null 2>&1 || test -f issue0069/WAIVE if [ ! -f issue0069/WAIVE ]; then \ icebox_vlog issue0069/top.asc > issue0069/top_postpnr.v; \ else \ touch issue0069/top_postpnr.v; \ fi gzip -fdk issue0070/sb_rgba_drv.json.gz /gnu/store/0ic4fw2cga4kfqqgvjijjzgjc3skdb13-nextpnr-ice40-0.9-0.ad76625/bin/nextpnr-ice40 --json issue0070/sb_rgba_drv.json --asc issue0070/sb_rgba_drv.asc --up5k > /dev/null 2>&1 || test -f issue0070/WAIVE if [ ! -f issue0070/WAIVE ]; then \ icebox_vlog issue0070/sb_rgba_drv.asc > issue0070/sb_rgba_drv_postpnr.v; \ else \ touch issue0070/sb_rgba_drv_postpnr.v; \ fi gzip -fdk issue0071/top-nopll.json.gz /gnu/store/0ic4fw2cga4kfqqgvjijjzgjc3skdb13-nextpnr-ice40-0.9-0.ad76625/bin/nextpnr-ice40 --json issue0071/top-nopll.json --asc issue0071/top-nopll.asc --pcf issue0071/top-nopll.pcf --lp8k --package cm81 --freq 16.0 > /dev/null 2>&1 || test -f issue0071/WAIVE if [ ! -f issue0071/WAIVE ]; then \ icebox_vlog issue0071/top-nopll.asc > issue0071/top-nopll_postpnr.v; \ else \ touch issue0071/top-nopll_postpnr.v; \ fi gzip -fdk issue0071/top-pll.json.gz /gnu/store/0ic4fw2cga4kfqqgvjijjzgjc3skdb13-nextpnr-ice40-0.9-0.ad76625/bin/nextpnr-ice40 --json issue0071/top-pll.json --asc issue0071/top-pll.asc --pcf issue0071/top-pll.pcf --lp8k --package cm81 --freq 16.0 > /dev/null 2>&1 || test -f issue0071/WAIVE if [ ! -f issue0071/WAIVE ]; then \ icebox_vlog issue0071/top-pll.asc > issue0071/top-pll_postpnr.v; \ else \ touch issue0071/top-pll_postpnr.v; \ fi gzip -fdk issue0072/top.json.gz /gnu/store/0ic4fw2cga4kfqqgvjijjzgjc3skdb13-nextpnr-ice40-0.9-0.ad76625/bin/nextpnr-ice40 --json issue0072/top.json --asc issue0072/top.asc --pcf issue0072/top.pcf --up5k --package sg48 --freq 29.999999999999996 > /dev/null 2>&1 || test -f issue0072/WAIVE if [ ! -f issue0072/WAIVE ]; then \ icebox_vlog issue0072/top.asc > issue0072/top_postpnr.v; \ else \ touch issue0072/top_postpnr.v; \ fi gzip -fdk issue0098/j4a.json.gz /gnu/store/0ic4fw2cga4kfqqgvjijjzgjc3skdb13-nextpnr-ice40-0.9-0.ad76625/bin/nextpnr-ice40 --json issue0098/j4a.json --asc issue0098/j4a.asc --pcf issue0098/j4a.pcf --hx8k --package ct256 > /dev/null 2>&1 || test -f issue0098/WAIVE if [ ! -f issue0098/WAIVE ]; then \ icebox_vlog issue0098/j4a.asc > issue0098/j4a_postpnr.v; \ else \ touch issue0098/j4a_postpnr.v; \ fi gzip -fdk issue0099/top.json.gz /gnu/store/0ic4fw2cga4kfqqgvjijjzgjc3skdb13-nextpnr-ice40-0.9-0.ad76625/bin/nextpnr-ice40 --json issue0099/top.json --asc issue0099/top.asc --lp8k > /dev/null 2>&1 || test -f issue0099/WAIVE if [ ! -f issue0099/WAIVE ]; then \ icebox_vlog issue0099/top.asc > issue0099/top_postpnr.v; \ else \ touch issue0099/top_postpnr.v; \ fi gzip -fdk issue0106/top.json.gz /gnu/store/0ic4fw2cga4kfqqgvjijjzgjc3skdb13-nextpnr-ice40-0.9-0.ad76625/bin/nextpnr-ice40 --json issue0106/top.json --asc issue0106/top.asc --pcf issue0106/top.pcf --lp384 > /dev/null 2>&1 || test -f issue0106/WAIVE if [ ! -f issue0106/WAIVE ]; then \ icebox_vlog issue0106/top.asc > issue0106/top_postpnr.v; \ else \ touch issue0106/top_postpnr.v; \ fi gzip -fdk issue0116/phase_addon.json.gz /gnu/store/0ic4fw2cga4kfqqgvjijjzgjc3skdb13-nextpnr-ice40-0.9-0.ad76625/bin/nextpnr-ice40 --json issue0116/phase_addon.json --asc issue0116/phase_addon.asc --pcf issue0116/phase_addon.pcf --lp384 --package qn32 --seed 1 > /dev/null 2>&1 || test -f issue0116/WAIVE if [ ! -f issue0116/WAIVE ]; then \ icebox_vlog issue0116/phase_addon.asc > issue0116/phase_addon_postpnr.v; \ else \ touch issue0116/phase_addon_postpnr.v; \ fi gzip -fdk issue0117/top.json.gz /gnu/store/0ic4fw2cga4kfqqgvjijjzgjc3skdb13-nextpnr-ice40-0.9-0.ad76625/bin/nextpnr-ice40 --json issue0117/top.json --asc issue0117/top.asc > /dev/null 2>&1 || test -f issue0117/WAIVE if [ ! -f issue0117/WAIVE ]; then \ icebox_vlog issue0117/top.asc > issue0117/top_postpnr.v; \ else \ touch issue0117/top_postpnr.v; \ fi gzip -fdk issue0121/serv_0.json.gz /gnu/store/0ic4fw2cga4kfqqgvjijjzgjc3skdb13-nextpnr-ice40-0.9-0.ad76625/bin/nextpnr-ice40 --json issue0121/serv_0.json --asc issue0121/serv_0.asc --pcf issue0121/serv_0.pcf --lp8k --package cm81 --freq 16 --pcf-allow-unconstrained > /dev/null 2>&1 || test -f issue0121/WAIVE if [ ! -f issue0121/WAIVE ]; then \ icebox_vlog issue0121/serv_0.asc > issue0121/serv_0_postpnr.v; \ else \ touch issue0121/serv_0_postpnr.v; \ fi gzip -fdk issue0126/pll-test.json.gz /gnu/store/0ic4fw2cga4kfqqgvjijjzgjc3skdb13-nextpnr-ice40-0.9-0.ad76625/bin/nextpnr-ice40 --json issue0126/pll-test.json --asc issue0126/pll-test.asc --pcf issue0126/pll-test.pcf --package sg48 --up5k > /dev/null 2>&1 || test -f issue0126/WAIVE if [ ! -f issue0126/WAIVE ]; then \ icebox_vlog issue0126/pll-test.asc > issue0126/pll-test_postpnr.v; \ else \ touch issue0126/pll-test_postpnr.v; \ fi gzip -fdk issue0127/top.json.gz /gnu/store/0ic4fw2cga4kfqqgvjijjzgjc3skdb13-nextpnr-ice40-0.9-0.ad76625/bin/nextpnr-ice40 --json issue0127/top.json --asc issue0127/top.asc --pcf issue0127/top.pcf --package sg48 --up5k > /dev/null 2>&1 || test -f issue0127/WAIVE if [ ! -f issue0127/WAIVE ]; then \ icebox_vlog issue0127/top.asc > issue0127/top_postpnr.v; \ else \ touch issue0127/top_postpnr.v; \ fi gzip -fdk issue0145/top.json.gz /gnu/store/0ic4fw2cga4kfqqgvjijjzgjc3skdb13-nextpnr-ice40-0.9-0.ad76625/bin/nextpnr-ice40 --json issue0145/top.json --asc issue0145/top.asc --pcf issue0145/top.pcf --hx8k --package tq144:4k > /dev/null 2>&1 || test -f issue0145/WAIVE if [ ! -f issue0145/WAIVE ]; then \ icebox_vlog issue0145/top.asc > issue0145/top_postpnr.v; \ else \ touch issue0145/top_postpnr.v; \ fi gzip -fdk issue0148/ice40.json.gz /gnu/store/0ic4fw2cga4kfqqgvjijjzgjc3skdb13-nextpnr-ice40-0.9-0.ad76625/bin/nextpnr-ice40 --json issue0148/ice40.json --asc issue0148/ice40.asc --pcf issue0148/ice40.pcf --package sg48 --up5k > /dev/null 2>&1 || test -f issue0148/WAIVE if [ ! -f issue0148/WAIVE ]; then \ icebox_vlog issue0148/ice40.asc > issue0148/ice40_postpnr.v; \ else \ touch issue0148/ice40_postpnr.v; \ fi gzip -fdk issue0151/top.json.gz /gnu/store/0ic4fw2cga4kfqqgvjijjzgjc3skdb13-nextpnr-ice40-0.9-0.ad76625/bin/nextpnr-ice40 --json issue0151/top.json --asc issue0151/top.asc --pcf issue0151/top.pcf --hx8k --package tq144:4k > /dev/null 2>&1 || test -f issue0151/WAIVE if [ ! -f issue0151/WAIVE ]; then \ icebox_vlog issue0151/top.asc > issue0151/top_postpnr.v; \ else \ touch issue0151/top_postpnr.v; \ fi gzip -fdk issue0170/hx8kbb.json.gz /gnu/store/0ic4fw2cga4kfqqgvjijjzgjc3skdb13-nextpnr-ice40-0.9-0.ad76625/bin/nextpnr-ice40 --json issue0170/hx8kbb.json --asc issue0170/hx8kbb.asc --pcf issue0170/hx8kbb.pcf --hx8k --package ct256 --freq 80 --pcf-allow-unconstrained > /dev/null 2>&1 || test -f issue0170/WAIVE if [ ! -f issue0170/WAIVE ]; then \ icebox_vlog issue0170/hx8kbb.asc > issue0170/hx8kbb_postpnr.v; \ else \ touch issue0170/hx8kbb_postpnr.v; \ fi gzip -fdk issue0176/test1.json.gz /gnu/store/0ic4fw2cga4kfqqgvjijjzgjc3skdb13-nextpnr-ice40-0.9-0.ad76625/bin/nextpnr-ice40 --json issue0176/test1.json --asc issue0176/test1.asc > /dev/null 2>&1 || test -f issue0176/WAIVE if [ ! -f issue0176/WAIVE ]; then \ icebox_vlog issue0176/test1.asc > issue0176/test1_postpnr.v; \ else \ touch issue0176/test1_postpnr.v; \ fi gzip -fdk issue0176/test2.json.gz /gnu/store/0ic4fw2cga4kfqqgvjijjzgjc3skdb13-nextpnr-ice40-0.9-0.ad76625/bin/nextpnr-ice40 --json issue0176/test2.json --asc issue0176/test2.asc > /dev/null 2>&1 || test -f issue0176/WAIVE if [ ! -f issue0176/WAIVE ]; then \ icebox_vlog issue0176/test2.asc > issue0176/test2_postpnr.v; \ else \ touch issue0176/test2_postpnr.v; \ fi gzip -fdk issue0176/test3.json.gz /gnu/store/0ic4fw2cga4kfqqgvjijjzgjc3skdb13-nextpnr-ice40-0.9-0.ad76625/bin/nextpnr-ice40 --json issue0176/test3.json --asc issue0176/test3.asc > /dev/null 2>&1 || test -f issue0176/WAIVE if [ ! -f issue0176/WAIVE ]; then \ icebox_vlog issue0176/test3.asc > issue0176/test3_postpnr.v; \ else \ touch issue0176/test3_postpnr.v; \ fi gzip -fdk issue0176/test4.json.gz /gnu/store/0ic4fw2cga4kfqqgvjijjzgjc3skdb13-nextpnr-ice40-0.9-0.ad76625/bin/nextpnr-ice40 --json issue0176/test4.json --asc issue0176/test4.asc > /dev/null 2>&1 || test -f issue0176/WAIVE if [ ! -f issue0176/WAIVE ]; then \ icebox_vlog issue0176/test4.asc > issue0176/test4_postpnr.v; \ else \ touch issue0176/test4_postpnr.v; \ fi gzip -fdk issue0176/test5.json.gz /gnu/store/0ic4fw2cga4kfqqgvjijjzgjc3skdb13-nextpnr-ice40-0.9-0.ad76625/bin/nextpnr-ice40 --json issue0176/test5.json --asc issue0176/test5.asc > /dev/null 2>&1 || test -f issue0176/WAIVE if [ ! -f issue0176/WAIVE ]; then \ icebox_vlog issue0176/test5.asc > issue0176/test5_postpnr.v; \ else \ touch issue0176/test5_postpnr.v; \ fi gzip -fdk issue0176/test6.json.gz /gnu/store/0ic4fw2cga4kfqqgvjijjzgjc3skdb13-nextpnr-ice40-0.9-0.ad76625/bin/nextpnr-ice40 --json issue0176/test6.json --asc issue0176/test6.asc > /dev/null 2>&1 || test -f issue0176/WAIVE if [ ! -f issue0176/WAIVE ]; then \ icebox_vlog issue0176/test6.asc > issue0176/test6_postpnr.v; \ else \ touch issue0176/test6_postpnr.v; \ fi gzip -fdk issue0176/test7.json.gz /gnu/store/0ic4fw2cga4kfqqgvjijjzgjc3skdb13-nextpnr-ice40-0.9-0.ad76625/bin/nextpnr-ice40 --json issue0176/test7.json --asc issue0176/test7.asc > /dev/null 2>&1 || test -f issue0176/WAIVE if [ ! -f issue0176/WAIVE ]; then \ icebox_vlog issue0176/test7.asc > issue0176/test7_postpnr.v; \ else \ touch issue0176/test7_postpnr.v; \ fi gzip -fdk issue0176/test8.json.gz /gnu/store/0ic4fw2cga4kfqqgvjijjzgjc3skdb13-nextpnr-ice40-0.9-0.ad76625/bin/nextpnr-ice40 --json issue0176/test8.json --asc issue0176/test8.asc > /dev/null 2>&1 || test -f issue0176/WAIVE if [ ! -f issue0176/WAIVE ]; then \ icebox_vlog issue0176/test8.asc > issue0176/test8_postpnr.v; \ else \ touch issue0176/test8_postpnr.v; \ fi gzip -fdk issue0183/TopEntity.json.gz /gnu/store/0ic4fw2cga4kfqqgvjijjzgjc3skdb13-nextpnr-ice40-0.9-0.ad76625/bin/nextpnr-ice40 --json issue0183/TopEntity.json --asc issue0183/TopEntity.asc --hx8k --seed 13 > /dev/null 2>&1 || test -f issue0183/WAIVE if [ ! -f issue0183/WAIVE ]; then \ icebox_vlog issue0183/TopEntity.asc > issue0183/TopEntity_postpnr.v; \ else \ touch issue0183/TopEntity_postpnr.v; \ fi gzip -fdk issue0186/test.json.gz /gnu/store/0ic4fw2cga4kfqqgvjijjzgjc3skdb13-nextpnr-ice40-0.9-0.ad76625/bin/nextpnr-ice40 --json issue0186/test.json --asc issue0186/test.asc --pcf issue0186/test.pcf --hx8k > /dev/null 2>&1 || test -f issue0186/WAIVE if [ ! -f issue0186/WAIVE ]; then \ icebox_vlog issue0186/test.asc > issue0186/test_postpnr.v; \ else \ touch issue0186/test_postpnr.v; \ fi gzip -fdk issue0188/test.json.gz /gnu/store/0ic4fw2cga4kfqqgvjijjzgjc3skdb13-nextpnr-ice40-0.9-0.ad76625/bin/nextpnr-ice40 --json issue0188/test.json --asc issue0188/test.asc --pcf issue0188/test.pcf --hx8k > /dev/null 2>&1 || test -f issue0188/WAIVE if [ ! -f issue0188/WAIVE ]; then \ icebox_vlog issue0188/test.asc > issue0188/test_postpnr.v; \ else \ touch issue0188/test_postpnr.v; \ fi gzip -fdk issue0203/ringosc.json.gz /gnu/store/0ic4fw2cga4kfqqgvjijjzgjc3skdb13-nextpnr-ice40-0.9-0.ad76625/bin/nextpnr-ice40 --json issue0203/ringosc.json --asc issue0203/ringosc.asc --pcf issue0203/ringosc.pcf --ignore-loops > /dev/null 2>&1 || test -f issue0203/WAIVE if [ ! -f issue0203/WAIVE ]; then \ icebox_vlog issue0203/ringosc.asc > issue0203/ringosc_postpnr.v; \ else \ touch issue0203/ringosc_postpnr.v; \ fi gzip -fdk issue0218/rx_cdr_divider.json.gz /gnu/store/0ic4fw2cga4kfqqgvjijjzgjc3skdb13-nextpnr-ice40-0.9-0.ad76625/bin/nextpnr-ice40 --json issue0218/rx_cdr_divider.json --asc issue0218/rx_cdr_divider.asc --hx8k --package tq144:4k > /dev/null 2>&1 || test -f issue0218/WAIVE if [ ! -f issue0218/WAIVE ]; then \ icebox_vlog issue0218/rx_cdr_divider.asc > issue0218/rx_cdr_divider_postpnr.v; \ else \ touch issue0218/rx_cdr_divider_postpnr.v; \ fi gzip -fdk issue0224/rx_cdr_bbpd.json.gz /gnu/store/0ic4fw2cga4kfqqgvjijjzgjc3skdb13-nextpnr-ice40-0.9-0.ad76625/bin/nextpnr-ice40 --json issue0224/rx_cdr_bbpd.json --asc issue0224/rx_cdr_bbpd.asc --hx8k --package tq144:4k --seed 4 --ignore-loops > /dev/null 2>&1 || test -f issue0224/WAIVE if [ ! -f issue0224/WAIVE ]; then \ icebox_vlog issue0224/rx_cdr_bbpd.asc > issue0224/rx_cdr_bbpd_postpnr.v; \ else \ touch issue0224/rx_cdr_bbpd_postpnr.v; \ fi gzip -fdk issue0229/top.json.gz /gnu/store/0ic4fw2cga4kfqqgvjijjzgjc3skdb13-nextpnr-ice40-0.9-0.ad76625/bin/nextpnr-ice40 --json issue0229/top.json --asc issue0229/top.asc --pcf issue0229/top.pcf --hx8k --package bg121 --pre-pack issue0229/top_pre_pack.py > /dev/null 2>&1 || test -f issue0229/WAIVE if [ ! -f issue0229/WAIVE ]; then \ icebox_vlog issue0229/top.asc > issue0229/top_postpnr.v; \ else \ touch issue0229/top_postpnr.v; \ fi gzip -fdk issue0237/top.json.gz /gnu/store/0ic4fw2cga4kfqqgvjijjzgjc3skdb13-nextpnr-ice40-0.9-0.ad76625/bin/nextpnr-ice40 --json issue0237/top.json --asc issue0237/top.asc --up5k > /dev/null 2>&1 || test -f issue0237/WAIVE if [ ! -f issue0237/WAIVE ]; then \ icebox_vlog issue0237/top.asc > issue0237/top_postpnr.v; \ else \ touch issue0237/top_postpnr.v; \ fi gzip -fdk issue0258/twopll.json.gz /gnu/store/0ic4fw2cga4kfqqgvjijjzgjc3skdb13-nextpnr-ice40-0.9-0.ad76625/bin/nextpnr-ice40 --json issue0258/twopll.json --asc issue0258/twopll.asc --pcf issue0258/twopll.pcf --hx8k --package tq144:4k > /dev/null 2>&1 || test -f issue0258/WAIVE if [ ! -f issue0258/WAIVE ]; then \ icebox_vlog issue0258/twopll.asc > issue0258/twopll_postpnr.v; \ else \ touch issue0258/twopll_postpnr.v; \ fi gzip -fdk pr0226/top.json.gz /gnu/store/0ic4fw2cga4kfqqgvjijjzgjc3skdb13-nextpnr-ice40-0.9-0.ad76625/bin/nextpnr-ice40 --json pr0226/top.json --asc pr0226/top.asc --pcf pr0226/top.pcf > /dev/null 2>&1 || test -f pr0226/WAIVE if [ ! -f pr0226/WAIVE ]; then \ icebox_vlog pr0226/top.asc > pr0226/top_postpnr.v; \ else \ touch pr0226/top_postpnr.v; \ fi gzip -fdk pr0252/top.json.gz /gnu/store/0ic4fw2cga4kfqqgvjijjzgjc3skdb13-nextpnr-ice40-0.9-0.ad76625/bin/nextpnr-ice40 --json pr0252/top.json --asc pr0252/top.asc --up5k > /dev/null 2>&1 || test -f pr0252/WAIVE if [ ! -f pr0252/WAIVE ]; then \ icebox_vlog pr0252/top.asc > pr0252/top_postpnr.v; \ else \ touch pr0252/top_postpnr.v; \ fi gzip -fdk issue0084/mlaccel.json.gz cd issue0084/ && NPNR=/gnu/store/0ic4fw2cga4kfqqgvjijjzgjc3skdb13-nextpnr-ice40-0.9-0.ad76625/bin/nextpnr-ice40 bash mlaccel.sh > /dev/null 2>&1 if [ -f "issue0084/mlaccel.asc" ]; then \ icebox_vlog issue0084/mlaccel.asc > issue0084/mlaccel_postpnr.v; \ else \ touch issue0084/mlaccel_postpnr.v; \ fi gzip -fdk issue0090/conn-crash.json.gz cd issue0090/ && NPNR=/gnu/store/0ic4fw2cga4kfqqgvjijjzgjc3skdb13-nextpnr-ice40-0.9-0.ad76625/bin/nextpnr-ice40 bash conn-crash.sh > /dev/null 2>&1 if [ -f "issue0090/conn-crash.asc" ]; then \ icebox_vlog issue0090/conn-crash.asc > issue0090/conn-crash_postpnr.v; \ else \ touch issue0090/conn-crash_postpnr.v; \ fi gzip -fdk issue0120/top.json.gz cd issue0120/ && NPNR=/gnu/store/0ic4fw2cga4kfqqgvjijjzgjc3skdb13-nextpnr-ice40-0.9-0.ad76625/bin/nextpnr-ice40 bash top.sh > /dev/null 2>&1 if [ -f "issue0120/top.asc" ]; then \ icebox_vlog issue0120/top.asc > issue0120/top_postpnr.v; \ else \ touch issue0120/top_postpnr.v; \ fi gzip -fdk issue0209/test.json.gz cd issue0209/ && NPNR=/gnu/store/0ic4fw2cga4kfqqgvjijjzgjc3skdb13-nextpnr-ice40-0.9-0.ad76625/bin/nextpnr-ice40 bash test.sh > /dev/null 2>&1 if [ -f "issue0209/test.asc" ]; then \ icebox_vlog issue0209/test.asc > issue0209/test_postpnr.v; \ else \ touch issue0209/test_postpnr.v; \ fi Info: Creating wires... Info: Creating bels... Info: Creating pips... Info: Running architecture database integrity check. Info: Checking entity names. Info: Checking bel names.. Info: Checking wire names.. Info: Checking bucket names.. Info: Checking pip names.. Info: Checking location data. Info: Checking all bels.. Info: Checking all locations.. Info: Checking connectivity data. Info: Checking all wires... Info: Checking all BELs... Info: Checking all PIPs... Info: Checking bucket data. Info: Program finished normally. + yosys -q -p 'prep -top top; write_json design.json' design.v + nextpnr-generic --json design.json --pre-pack pre_pack.py --post-route post_route.py --no-iobs Info: Packing constants.. Info: Packing IOs.. Info: Packing LUT-FFs.. Info: Packing non-LUT FFs.. Info: Checksum: 0x7934fb86 Info: Device utilisation: Info: TEST_BEL: 1/ 1 100% Warning: Unable to use HeAP due to a lack of IO buffers or constrained cells as anchors; reverting to SA. Info: Placed 0 cells based on constraints. Info: Creating initial placement for remaining 1 cells. Info: initial placement placed 1/1 cells Info: Initial placement time 0.00s Info: Running simulated annealing placer. Info: at iteration #1: temp = 1.000000, timing cost = 0, wirelen = 0 Info: Legalising relative constraints... Info: moved 0 cells, 0 unplaced (after legalising chains) Info: at iteration #5: temp = 0.409600, timing cost = 0, wirelen = 0 Info: at iteration #10: temp = 0.134218, timing cost = 0, wirelen = 0 Info: at iteration #15: temp = 0.043980, timing cost = 0, wirelen = 0 Info: at iteration #20: temp = 0.014412, timing cost = 0, wirelen = 0 Info: at iteration #25: temp = 0.004722, timing cost = 0, wirelen = 0 Info: at iteration #30: temp = 0.001547, timing cost = 0, wirelen = 0 Info: at iteration #35: temp = 0.000507, timing cost = 0, wirelen = 0 Info: at iteration #40: temp = 0.000166, timing cost = 0, wirelen = 0 Info: at iteration #45: temp = 0.000054, timing cost = 0, wirelen = 0 Info: at iteration #50: temp = 0.000018, timing cost = 0, wirelen = 0 Info: at iteration #55: temp = 0.000006, timing cost = 0, wirelen = 0 Info: at iteration #60: temp = 0.000002, timing cost = 0, wirelen = 0 Info: at iteration #65: temp = 0.000001, timing cost = 0, wirelen = 0 Info: at iteration #70: temp = 0.000000, timing cost = 0, wirelen = 0 Info: at iteration #74: temp = 0.000000, timing cost = 0, wirelen = 0 Info: SA placement time 0.00s Info: No Fmax available; no interior timing paths found in design. Info: Checksum: 0x085f1a85 Info: Routing.. Info: Setting up routing queue. Info: Routing 2 arcs. Info: | (re-)routed arcs | delta | remaining| time spent | Info: IterCnt | w/ripup wo/ripup | w/r wo/r | arcs| batch(sec) total(sec)| Info: 2 | 0 2 | 0 2 | 0| 0.00 0.00| Info: Routing complete. Info: Router1 time 0.00s Info: Checksum: 0x65adb766 Info: No Fmax available; no interior timing paths found in design. 1 warning, 0 errors Info: Program finished normally. Info: Running architecture database integrity check. Info: Checking entity names. Info: Checking bel names.. Info: Checking wire names.. Info: Checking bucket names.. Info: Checking location data. Info: Checking all bels.. Info: Checking all locations.. Info: Checking connectivity data. Info: Checking all wires... Info: Checking all BELs... Info: Checking all PIPs... Info: Checking bucket data. Info: Program finished normally. gzip -dk issue0191/testcase.json.gz /gnu/store/0ic4fw2cga4kfqqgvjijjzgjc3skdb13-nextpnr-ice40-0.9-0.ad76625/bin/nextpnr-ecp5 --json issue0191/testcase.json --textcfg issue0191/testcase.config --lpf issue0191/testcase.lpf --25k --package CABGA381 > /dev/null 2>&1 ecppack issue0191/testcase.config issue0191/testcase.bit gzip -dk issue0235/blinky.json.gz /gnu/store/0ic4fw2cga4kfqqgvjijjzgjc3skdb13-nextpnr-ice40-0.9-0.ad76625/bin/nextpnr-ecp5 --json issue0235/blinky.json --textcfg issue0235/blinky.config --lpf issue0235/blinky.lpf --25k --package CABGA381 > /dev/null 2>&1 ecppack issue0235/blinky.config issue0235/blinky.bit gzip -dk issue0259/seg.json.gz /gnu/store/0ic4fw2cga4kfqqgvjijjzgjc3skdb13-nextpnr-ice40-0.9-0.ad76625/bin/nextpnr-ecp5 --json issue0259/seg.json --textcfg issue0259/seg.config --um5g-85k > /dev/null 2>&1 ecppack issue0259/seg.config issue0259/seg.bit gzip -dk issue0194/testcase.json.gz cd issue0194/ && NPNR=/gnu/store/0ic4fw2cga4kfqqgvjijjzgjc3skdb13-nextpnr-ice40-0.9-0.ad76625/bin/nextpnr-ecp5 bash testcase.sh > /dev/null 2>&1 if [ -f "issue0194/testcase.config" ]; then \ ecppack issue0194/testcase.config issue0194/testcase.bit; \ else \ touch issue0194/testcase.bit; \ fi phase `run-tests' succeeded after 404.3 seconds starting phase `qt-wrap' phase `qt-wrap' succeeded after 0.0 seconds starting phase `patch-shebangs' phase `patch-shebangs' succeeded after 0.0 seconds starting phase `strip' stripping binaries in "/gnu/store/0ic4fw2cga4kfqqgvjijjzgjc3skdb13-nextpnr-ice40-0.9-0.ad76625/bin" with "strip" and flags ("--strip-unneeded" "--enable-deterministic-archives") phase `strip' succeeded after 1.3 seconds starting phase `validate-runpath' validating RUNPATH of 6 binaries in "/gnu/store/0ic4fw2cga4kfqqgvjijjzgjc3skdb13-nextpnr-ice40-0.9-0.ad76625/bin"... phase `validate-runpath' succeeded after 0.2 seconds starting phase `validate-documentation-location' phase `validate-documentation-location' succeeded after 0.0 seconds starting phase `delete-info-dir-file' phase `delete-info-dir-file' succeeded after 0.0 seconds starting phase `patch-dot-desktop-files' phase `patch-dot-desktop-files' succeeded after 0.0 seconds starting phase `make-dynamic-linker-cache' created '/gnu/store/0ic4fw2cga4kfqqgvjijjzgjc3skdb13-nextpnr-ice40-0.9-0.ad76625/etc/ld.so.cache' from 54 library search path entries phase `make-dynamic-linker-cache' succeeded after 1.7 seconds starting phase `install-license-files' installing 1 license files from '../source' phase `install-license-files' succeeded after 0.0 seconds starting phase `reset-gzip-timestamps' phase `reset-gzip-timestamps' succeeded after 0.0 seconds starting phase `compress-documentation' phase `compress-documentation' succeeded after 0.0 seconds